Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 20 de 21
Filter
Add more filters










Publication year range
1.
ACS Appl Mater Interfaces ; 9(44): 38977-38983, 2017 Nov 08.
Article in English | MEDLINE | ID: mdl-29035026

ABSTRACT

MoS2, as a model transition metal dichalcogenide, is viewed as a potential channel material in future nanoelectronic and optoelectronic devices. Minimizing the contact resistance of the metal/MoS2 junction is critical to realizing the potential of MoS2-based devices. In this work, the Schottky barrier height (SBH) and the band structure of high work function Pd metal on MoS2 have been studied by in situ X-ray photoelectron spectroscopy (XPS). The analytical spot diameter of the XPS spectrometer is about 400 µm, and the XPS signal is proportional to the detection area, so the influence of defect-mediated parallel conduction paths on the SBH does not affect the measurement. The charge redistribution by Pd on MoS2 is detected by XPS characterization, which gives insight into metal contact physics to MoS2 and suggests that interface engineering is necessary to lower the contact resistance for the future generation electronic applications.

2.
ACS Appl Mater Interfaces ; 9(28): 24348-24356, 2017 Jul 19.
Article in English | MEDLINE | ID: mdl-28650155

ABSTRACT

The electronic properties of the HfO2/MoS2 interface were investigated using multifrequency capacitance-voltage (C-V) and current-voltage characterization of top-gated MoS2 metal-oxide-semiconductor field effect transistors (MOSFETs). The analysis was performed on few layer (5-10) MoS2 MOSFETs fabricated using photolithographic patterning with 13 and 8 nm HfO2 gate oxide layers formed by atomic layer deposition after in-situ UV-O3 surface functionalization. The impedance response of the HfO2/MoS2 gate stack indicates the existence of specific defects at the interface, which exhibited either a frequency-dependent distortion similar to conventional Si MOSFETs with unpassivated silicon dangling bonds or a frequency dispersion over the entire voltage range corresponding to depletion of the HfO2/MoS2 surface, consistent with interface traps distributed over a range of energy levels. The interface defects density (Dit) was extracted from the C-V responses by the high-low frequency and the multiple-frequency extraction methods, where a Dit peak value of 1.2 × 1013 cm-2 eV-1 was extracted for a device (7-layer MoS2 and 13 nm HfO2) exhibiting a behavior approximating to a single trap response. The MoS2 MOSFET with 4-layer MoS2 and 8 nm HfO2 gave Dit values ranging from 2 × 1011 to 2 × 1013 cm-2 eV-1 across the energy range corresponding to depletion near the HfO2/MoS2 interface. The gate current was below 10-7 A/cm2 across the full bias sweep for both samples indicating continuous HfO2 films resulting from the combined UV ozone and HfO2 deposition process. The results demonstrated that impedance spectroscopy applied to relatively simple top-gated transistor test structures provides an approach to investigate electrically active defects at the HfO2/MoS2 interface and should be applicable to alternative TMD materials, surface treatments, and gate oxides as an interface defect metrology tool in the development of TMD-based MOSFETs.

3.
ACS Appl Mater Interfaces ; 8(36): 24205-11, 2016 Sep 14.
Article in English | MEDLINE | ID: mdl-27553365

ABSTRACT

The reduction of parasitic recombination processes commonly occurring within the silicon crystal and at its surfaces is of primary importance in crystalline silicon devices, particularly in photovoltaics. Here we explore a simple, room temperature treatment, involving a nonaqueous solution of the superacid bis(trifluoromethane)sulfonimide, to temporarily deactivate recombination centers at the surface. We show that this treatment leads to a significant enhancement in optoelectronic properties of the silicon wafer, attaining a level of surface passivation in line with state-of-the-art dielectric passivation films. Finally, we demonstrate its advantage as a bulk lifetime and process cleanliness monitor, establishing its compatibility with large area photoluminescence imaging in the process.

4.
Nano Lett ; 16(9): 5437-43, 2016 09 14.
Article in English | MEDLINE | ID: mdl-27494551

ABSTRACT

Controllable doping of two-dimensional materials is highly desired for ideal device performance in both hetero- and p-n homojunctions. Herein, we propose an effective strategy for doping of MoS2 with nitrogen through a remote N2 plasma surface treatment. By monitoring the surface chemistry of MoS2 upon N2 plasma exposure using in situ X-ray photoelectron spectroscopy, we identified the presence of covalently bonded nitrogen in MoS2, where substitution of the chalcogen sulfur by nitrogen is determined as the doping mechanism. Furthermore, the electrical characterization demonstrates that p-type doping of MoS2 is achieved by nitrogen doping, which is in agreement with theoretical predictions. Notably, we found that the presence of nitrogen can induce compressive strain in the MoS2 structure, which represents the first evidence of strain induced by substitutional doping in a transition metal dichalcogenide material. Finally, our first principle calculations support the experimental demonstration of such strain, and a correlation between nitrogen doping concentration and compressive strain in MoS2 is elucidated.

5.
ACS Appl Mater Interfaces ; 8(29): 19119-26, 2016 Jul 27.
Article in English | MEDLINE | ID: mdl-27386734

ABSTRACT

Exfoliated molybdenum disulfide (MoS2) is shown to chemically oxidize in a layered manner upon exposure to a remote O2 plasma. X-ray photoelectron spectroscopy (XPS), low energy electron diffraction (LEED), and atomic force microscopy (AFM) are employed to characterize the surface chemistry, structure, and topography of the oxidation process and indicate that the oxidation mainly occurs on the topmost layer without altering the chemical composition of underlying layer. The formation of S-O bonds upon short, remote plasma exposure pins the surface Fermi level to the conduction band edge, while the MoOx formation at high temperature modulates the Fermi level toward the valence band through band alignment. A uniform coverage of monolayer amorphous MoO3 is obtained after 5 min or longer remote O2 plasma exposure at 200 °C, and the MoO3 can be completely removed by annealing at 500 °C, leaving a clean ordered MoS2 lattice structure as verified by XPS, LEED, AFM, and scanning tunneling microscopy. This work shows that a remote O2 plasma can be useful for both surface functionalization and a controlled thinning method for MoS2 device fabrication processes.

7.
ACS Appl Mater Interfaces ; 8(7): 5002-8, 2016 Feb.
Article in English | MEDLINE | ID: mdl-26820099

ABSTRACT

Despite the number of existing studies that showcase the promising application of fluorinated graphene in nanoelectronics, the impact of the fluorine bonding nature on the relevant electrical behaviors of graphene devices, especially at low fluorine content, remains to be experimentally explored. Using CF4 as the fluorinating agent, we studied the gradual structural evolution of chemical vapor deposition graphene fluorinated by CF4 plasma at a working pressure of 700 mTorr using Raman and X-ray photoelectron spectroscopy (XPS). After 10 s of fluorination, our XPS analysis revealed a co-presence of covalently and ionically bonded fluorine components; the latter has been determined being a dominant contribution to the observation of two Dirac points in the relevant electrical measurement using graphene field effect transistor devices. Additionally, this ionic C-F component (ionic bonding characteristic charge sharing) is found to be present only at low fluorine content; continuous fluorination led to a complete transition to a covalently bonded C-F structure and a dramatic increase of graphene sheet resistance. Owing to the formation of these various C-F bonding components, our temperature-dependent Raman mapping studies show an inhomogeneous defluorination from annealing temperatures starting at ∼150 °C for low fluorine coverage, whereas fully fluorinated graphene is thermally stable up to ∼300 °C.

8.
Science ; 350(6264): 1065-8, 2015 Nov 27.
Article in English | MEDLINE | ID: mdl-26612948

ABSTRACT

Two-dimensional (2D) transition metal dichalcogenides have emerged as a promising material system for optoelectronic applications, but their primary figure of merit, the room-temperature photoluminescence quantum yield (QY), is extremely low. The prototypical 2D material molybdenum disulfide (MoS2) is reported to have a maximum QY of 0.6%, which indicates a considerable defect density. Here we report on an air-stable, solution-based chemical treatment by an organic superacid, which uniformly enhances the photoluminescence and minority carrier lifetime of MoS2 monolayers by more than two orders of magnitude. The treatment eliminates defect-mediated nonradiative recombination, thus resulting in a final QY of more than 95%, with a longest-observed lifetime of 10.8 ± 0.6 nanoseconds. Our ability to obtain optoelectronic monolayers with near-perfect properties opens the door for the development of highly efficient light-emitting diodes, lasers, and solar cells based on 2D materials.

9.
Nano Lett ; 15(10): 6586-91, 2015 Oct 14.
Article in English | MEDLINE | ID: mdl-26349430

ABSTRACT

Substitutional doping of transition metal dichalcogenides (TMDs) may provide routes to achieving tunable p-n junctions, bandgaps, chemical sensitivity, and magnetism in these materials. In this study, we demonstrate in situ doping of monolayer molybdenum disulfide (MoS2) with manganese (Mn) via vapor phase deposition techniques. Successful incorporation of Mn in MoS2 leads to modifications of the band structure as evidenced by photoluminescence and X-ray photoelectron spectroscopy, but this is heavily dependent on the choice of substrate. We show that inert substrates (i.e., graphene) permit the incorporation of several percent Mn in MoS2, while substrates with reactive surface terminations (i.e., SiO2 and sapphire) preclude Mn incorporation and merely lead to defective MoS2. The results presented here demonstrate that tailoring the substrate surface could be the most significant factor in substitutional doping of TMDs with non-TMD elements.

10.
ACS Nano ; 9(9): 9124-33, 2015 Sep 22.
Article in English | MEDLINE | ID: mdl-26301428

ABSTRACT

Room temperature X-ray photoelectron spectroscopy (XPS), inductively coupled plasma mass spectrometry (ICPMS), high resolution Rutherford backscattering spectrometry (HR-RBS), Kelvin probe method, and scanning tunneling microscopy (STM) are employed to study the properties of a freshly exfoliated surface of geological MoS2 crystals. Our findings reveal that the semiconductor 2H-MoS2 exhibits both n- and p-type behavior, and the work function as measured by the Kelvin probe is found to vary from 4.4 to 5.3 eV. The presence of impurities in parts-per-million (ppm) and a surface defect density of up to 8% of the total area could explain the variation of the Fermi level position. High resolution RBS data also show a large variation in the MoSx composition (1.8 < x < 2.05) at the surface. Thus, the variation in the conductivity, the work function, and stoichiometry across small areas of MoS2 will have to be controlled during crystal growth in order to provide high quality uniform materials for future device fabrication.

11.
ACS Appl Mater Interfaces ; 7(23): 13038-43, 2015 Jun 17.
Article in English | MEDLINE | ID: mdl-26016806

ABSTRACT

In situ "half cycle" atomic layer deposition (ALD) of Al2O3 was carried out on black phosphorus ("black-P") surfaces with modified phosphorus oxide concentrations. X-ray photoelectron spectroscopy is employed to investigate the interfacial chemistry and the nucleation of the Al2O3 on black-P surfaces. This work suggests that exposing a sample that is initially free of phosphorus oxide to the ALD precursors does not result in detectable oxidation. However, when the phosphorus oxide is formed on the surface prior to deposition, the black-P can react with both the surface adventitious oxygen contamination and the H2O precursor at a deposition temperature of 200 °C. As a result, the concentration of the phosphorus oxide increases after both annealing and the atomic layer deposition process. The nucleation rate of Al2O3 on black-P is correlated with the amount of oxygen on samples prior to the deposition. The growth of Al2O3 follows a "substrate inhibited growth" behavior where an incubation period is required. Ex situ atomic force microscopy is also used to investigate the deposited Al2O3 morphologies on black-P where the Al2O3 tends to form islands on the exfoliated black-P samples. Therefore, surface functionalization may be needed to get a conformal coverage of Al2O3 on the phosphorus oxide free samples.

12.
ACS Appl Mater Interfaces ; 7(3): 2082-7, 2015 Jan 28.
Article in English | MEDLINE | ID: mdl-25556522

ABSTRACT

Integrating graphene into nanoelectronic device structure requires interfacing graphene with high-κ dielectric materials. However, the dewetting and thermal instability of dielectric layers on top of graphene makes fabricating a pinhole-free, uniform, and conformal graphene/dielectric interface challenging. Here, we demonstrate that an ultrathin layer of high-κ dielectric material Y2O3 acts as an effective seeding layer for atomic layer deposition of Al2O3 on graphene. Whereas identical Al2O3 depositions lead to discontinuous film on bare graphene, the Y2O3 seeding layer yields uniform and conformal films. The morphology of the Al2O3 film is characterized by atomic force microscopy and transmission electron microscopy. C-1s X-ray photoemission spectroscopy indicates that the underlying graphene remains intact following Y2O3 seed and Al2O3 deposition. Finally, photoemission measurements of the graphene/SiO2/Si, Y2O3/graphene/SiO2, and Al2O3/Y2O3/graphene/SiO2 interfaces indicate n-type doping of graphene with different doping levels due to charge transfer at the interfaces.

13.
ACS Nano ; 9(2): 2080-7, 2015 Feb 24.
Article in English | MEDLINE | ID: mdl-25625184

ABSTRACT

Tungsten diselenide (WSe2) is a two-dimensional material that is of interest for next-generation electronic and optoelectronic devices due to its direct bandgap of 1.65 eV in the monolayer form and excellent transport properties. However, technologies based on this 2D material cannot be realized without a scalable synthesis process. Here, we demonstrate the first scalable synthesis of large-area, mono and few-layer WSe2 via metal-organic chemical vapor deposition using tungsten hexacarbonyl (W(CO)6) and dimethylselenium ((CH3)2Se). In addition to being intrinsically scalable, this technique allows for the precise control of the vapor-phase chemistry, which is unobtainable using more traditional oxide vaporization routes. We show that temperature, pressure, Se:W ratio, and substrate choice have a strong impact on the ensuing atomic layer structure, with optimized conditions yielding >8 µm size domains. Raman spectroscopy, atomic force microscopy (AFM), and cross-sectional transmission electron microscopy (TEM) confirm crystalline monoto-multilayer WSe2 is achievable. Finally, TEM and vertical current/voltage transport provide evidence that a pristine van der Waals gap exists in WSe2/graphene heterostructures.

14.
ACS Nano ; 9(1): 474-80, 2015 Jan 27.
Article in English | MEDLINE | ID: mdl-25496648

ABSTRACT

In this work, we demonstrate the growth of HfSe2 thin films using molecular beam epitaxy. The relaxed growth criteria have allowed us to demonstrate layered, crystalline growth without misfit dislocations on other 2D substrates such as highly ordered pyrolytic graphite and MoS2. The HfSe2 thin films exhibit an atomically sharp interface with the substrates used, followed by flat, 2D layers with octahedral (1T) coordination. The resulting HfSe2 is slightly n-type with an indirect band gap of ∼ 1.1 eV and a measured energy band alignment significantly different from recent DFT calculations. These results demonstrate the feasibility and significant potential of fabricating 2D material based heterostructures with tunable band alignments for a variety of nanoelectronic and optoelectronic applications.

15.
ACS Nano ; 8(10): 10808-14, 2014 Oct 28.
Article in English | MEDLINE | ID: mdl-25229426

ABSTRACT

Covalent functionalization of transition metal dichalcogenides (TMDCs) is investigated for air-stable chemical doping. Specifically, p-doping of WSe(2) via NOx chemisorption at 150 °C is explored, with the hole concentration tuned by reaction time. Synchrotron based soft X-ray absorption spectroscopy (XAS) and X-ray photoelectron spectroscopy (XPS) depict the formation of various WSe(2-x-y)O(x)N(y) species both on the surface and interface between layers upon chemisorption reaction. Ab initio simulations corroborate our spectroscopy results in identifying the energetically favorable complexes, and predicting WSe(2):NO at the Se vacancy sites as the predominant dopant species. A maximum hole concentration of ∼ 10(19) cm(-3) is obtained from XPS and electrical measurements, which is found to be independent of WSe(2) thickness. This degenerate doping level facilitates 5 orders of magnitude reduction in contact resistance between Pd, a common p-type contact metal, and WSe(2). More generally, the work presents a platform for manipulating the electrical properties and band structure of TMDCs using covalent functionalization.

16.
ACS Appl Mater Interfaces ; 6(15): 11834-8, 2014 Aug 13.
Article in English | MEDLINE | ID: mdl-25025335

ABSTRACT

We present an Al2O3 dielectric layer on molybdenum disulfide (MoS2), deposited using atomic layer deposition (ALD) with ozone/trimethylaluminum (TMA) and water/TMA as precursors. The results of atomic force microscopy and low-energy ion scattering spectroscopy show that using TMA and ozone as precursors leads to the formation of uniform Al2O3 layers, in contrast to the incomplete coverage we observe when using TMA/H2O as precursors. Our Raman and X-ray photoelectron spectroscopy measurements indicate minimal variations in the MoS2 structure after ozone treatment at 200 °C, suggesting its excellent chemical resistance to ozone.

17.
ACS Nano ; 8(6): 6265-72, 2014 Jun 24.
Article in English | MEDLINE | ID: mdl-24797712

ABSTRACT

MoOx shows promising potential as an efficient hole injection layer for p-FETs based on transition metal dichalcogenides. A combination of experiment and theory is used to study the surface and interfacial chemistry, as well as the band alignments for MoOx/MoS2 and MoOx/WSe2 heterostructures, using photoelectron spectroscopy, scanning tunneling microscopy, and density functional theory. A Mo(5+) rich interface region is identified and is proposed to explain the similar low hole Schottky barriers reported in a recent device study utilizing MoOx contacts on MoS2 and WSe2.

18.
Nano Lett ; 14(3): 1337-42, 2014 Mar 12.
Article in English | MEDLINE | ID: mdl-24568656

ABSTRACT

The development of low-resistance source/drain contacts to transition-metal dichalcogenides (TMDCs) is crucial for the realization of high-performance logic components. In particular, efficient hole contacts are required for the fabrication of p-type transistors with MoS2, a model TMDC. Previous studies have shown that the Fermi level of elemental metals is pinned close to the conduction band of MoS2, thus resulting in large Schottky barrier heights for holes with limited hole injection from the contacts. Here, we show that substoichiometric molybdenum trioxide (MoOx, x < 3), a high work function material, acts as an efficient hole injection layer to MoS2 and WSe2. In particular, we demonstrate MoS2 p-type field-effect transistors and diodes by using MoOx contacts. We also show drastic on-current improvement for p-type WSe2 FETs with MoOx contacts over devices made with Pd contacts, which is the prototypical metal used for hole injection. The work presents an important advance in contact engineering of TMDCs and will enable future exploration of their performance limits and intrinsic transport properties.


Subject(s)
Disulfides , Molybdenum , Oxides , Transistors, Electronic , Electric Impedance
19.
Nano Lett ; 14(2): 967-71, 2014 Feb 12.
Article in English | MEDLINE | ID: mdl-24397343

ABSTRACT

Using an ultrathin (∼ 15 nm in thickness) molybdenum oxide (MoOx, x < 3) layer as a transparent hole selective contact to n-type silicon, we demonstrate a room-temperature processed oxide/silicon solar cell with a power conversion efficiency of 14.3%. While MoOx is commonly considered to be a semiconductor with a band gap of 3.3 eV, from X-ray photoelectron spectroscopy we show that MoOx may be considered to behave as a high workfunction metal with a low density of states at the Fermi level originating from the tail of an oxygen vacancy derived defect band located inside the band gap. Specifically, in the absence of carbon contamination, we measure a work function potential of ∼ 6.6 eV, which is significantly higher than that of all elemental metals. Our results on the archetypical semiconductor silicon demonstrate the use of nm-thick transition metal oxides as a simple and versatile pathway for dopant-free contacts to inorganic semiconductors. This work has important implications toward enabling a novel class of junctionless devices with applications for solar cells, light-emitting diodes, photodetectors, and transistors.

20.
ACS Nano ; 8(1): 642-9, 2014 Jan 28.
Article in English | MEDLINE | ID: mdl-24261695

ABSTRACT

The contact resistance of metal-graphene junctions has been actively explored and exhibited inconsistencies in reported values. The interpretation of these electrical data has been based exclusively on a side-contact model, that is, metal slabs sitting on a pristine graphene sheet. Using in situ X-ray photoelectron spectroscopy to study the wetting of metals on as-synthesized graphene on copper foil, we show that side-contact is sometimes a misleading picture. For instance, metals like Pd and Ti readily react with graphitic carbons, resulting in Pd- and Ti-carbides. Carbide formation is associated with C-C bond breaking in graphene, leading to an end-contact geometry between the metals and the periphery of the remaining graphene patches. This work validates the spontaneous formation of the metal-graphene end-contact during the metal deposition process as a result of the metal-graphene reaction instead of a simple carbon diffusion process.

SELECTION OF CITATIONS
SEARCH DETAIL
...