Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 1 de 1
Filter
Add more filters










Database
Language
Publication year range
1.
Materials (Basel) ; 5(3): 377-384, 2012 Mar 02.
Article in English | MEDLINE | ID: mdl-28817052

ABSTRACT

Amorphous nitrogen-doped silicon carbide (α-SiCN:H) films have been used as a Cu penetration diffusion barrier and interconnect etch stop layer in the below 90-nanometer ultra-large scale integration (ULSI) manufacturing technology. In this study, the etching stop layers were deposited by using trimethylsilane (3MS) or tetramethylsilane (4MS) with ammonia by plasma-enhanced chemical vapor deposition (PECVD) followed by a procedure for tetra-ethoxyl silane (TEOS) oxide. The depth profile of Cu distribution examined by second ion mass spectroscopy (SIMs) showed that 3MS α-SiCN:H exhibited a better barrier performance than the 4MS film, which was revealed by the Cu signal. The FTIR spectra also showed the intensity of Si-CH3 stretch mode in the α-SiCN:H film deposited by 3MS was higher than that deposited by 4MS. A novel multi structure of oxygen-doped silicon carbide (SiC:O) substituted TEOS oxide capped on 4MS α-SiC:N film was also examined. In addition to this, the new multi etch stop layers can be deposited together with the same tool which can thus eliminate the effect of the vacuum break and accompanying environmental contamination.

SELECTION OF CITATIONS
SEARCH DETAIL
...