Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 7 de 7
Filter
Add more filters










Database
Language
Publication year range
1.
Angew Chem Int Ed Engl ; 60(49): 25668-25673, 2021 Dec 01.
Article in English | MEDLINE | ID: mdl-34478224

ABSTRACT

Film deposition and high-resolution patterning of ionic liquids (ILs) remain a challenge, despite a broad range of applications that would benefit from this type of processing. Here, we demonstrate for the first time the chemical vapor deposition (CVD) of ILs. The IL-CVD method is based on the formation of a non-volatile IL through the reaction of two vaporized precursors. Ionogel micropatterns can be easily obtained via the combination of IL-CVD and standard photolithography, and the resulting microdrop arrays can be used as microreactors. The IL-CVD approach will facilitate leveraging the properties of ILs in a range of applications and microfabricated devices.

2.
Dalton Trans ; 50(20): 6784-6788, 2021 May 25.
Article in English | MEDLINE | ID: mdl-33969844

ABSTRACT

Chemical vapor deposition of metal-organic frameworks (MOF-CVD) will facilitate the integration of porous and crystalline coatings in electronic devices. In the two-step MOF-CVD process, a precursor layer is first deposited and subsequently converted to a MOF through exposure to linker vapor. We herein report the impact of different metal oxide and metalcone layers as precursors for zeolitic imidazolate framework ZIF-8 films.

3.
Adv Mater ; 33(17): e2006993, 2021 Apr.
Article in English | MEDLINE | ID: mdl-33733524

ABSTRACT

Thin films of crystalline and porous metal-organic frameworks (MOFs) have great potential in membranes, sensors, and microelectronic chips. While the morphology and crystallinity of MOF films can be evaluated using widely available techniques, characterizing their pore size, pore volume, and specific surface area is challenging due to the low amount of material and substrate effects. Positron annihilation lifetime spectroscopy (PALS) is introduced as a powerful method to obtain pore size information and depth profiling in MOF films. The complementarity of this approach to established physisorption-based methods such as quartz crystal microbalance (QCM) gravimetry, ellipsometric porosimetry (EP), and Kr physisorption (KrP) is illustrated. This comprehensive discussion on MOF thin film porosimetry is supported by experimental data for thin films of ZIF-8.

4.
Nat Mater ; 20(1): 93-99, 2021 Jan.
Article in English | MEDLINE | ID: mdl-33106648

ABSTRACT

Metal-organic frameworks (MOFs) offer disruptive potential in micro- and optoelectronics because of the unique properties of these microporous materials. Nanoscale patterning is a fundamental step in the implementation of MOFs in miniaturized solid-state devices. Conventional MOF patterning methods suffer from low resolution and poorly defined pattern edges. Here, we demonstrate the resist-free, direct X-ray and electron-beam lithography of MOFs. This process avoids etching damage and contamination and leaves the porosity and crystallinity of the patterned MOFs intact. The resulting high-quality patterns have excellent sub-50-nm resolution, and approach the mesopore regime. The compatibility of X-ray and electron-beam lithography with existing micro- and nanofabrication processes will facilitate the integration of MOFs in miniaturized devices.

5.
Talanta ; 215: 120910, 2020 Aug 01.
Article in English | MEDLINE | ID: mdl-32312454

ABSTRACT

Chemical vapor deposition of MOFs (MOF-CVD) has been used to coat solid-phase microextraction (SPME) fibers with ZIF-8, by exposing ZnO layers to the linker vapor (2-methylimidazole). This ZIF-8 coating has been used as a seed layer in a following solvothermal MOF growth step in order to increase the ZIF-8 thickness. The combined MOF-CVD and solvothermal growth of ZIF-8 on the fibers result in a thickness of ~3 µm, with adequate thermal stability, and mechanical integrity when tested with methanol and acetonitrile ultrasonic treatments. The fibers have been evaluated in direct immersion mode using gas chromatography and flame ionization detection (GC-FID), for a group of target analytes including three polycyclic aromatic hydrocarbons (PAHs) and five personal care products (PCPs). The optimized conditions of the SPME-GC-FID methods include low amount of aqueous sample (5 mL), stirring for 45 min at 35 °C, and desorption at 280 °C for 5 min. The method presents limits of detection down to 0.6 µg L-1; intra-day, inter-day and inter-batch relative standard deviation values lower than 16%, 19%, and 23%, respectively; and a lifetime higher than 70 cycles.

6.
Chem Commun (Camb) ; 55(68): 10056-10059, 2019 Sep 04.
Article in English | MEDLINE | ID: mdl-31369024

ABSTRACT

Copper dicarboxylate metal-organic framework films are deposited via chemical vapour deposition. Uniform films of CuBDC and CuCDC with an out-of-plane orientation and accessible porosity are obtained from the reaction of Cu and CuO with vaporised dicarboxylic acid linkers.

7.
Nat Commun ; 10(1): 3729, 2019 Aug 19.
Article in English | MEDLINE | ID: mdl-31427584

ABSTRACT

The performance of modern chips is strongly related to the multi-layer interconnect structure that interfaces the semiconductor layer with the outside world. The resulting demand to continuously reduce the k-value of the dielectric in these interconnects creates multiple integration challenges and encourages the search for novel materials. Here we report a strategy for the integration of metal-organic frameworks (MOFs) as gap-filling low-k dielectrics in advanced on-chip interconnects. The method relies on the selective conversion of purpose-grown or native metal-oxide films on the metal interconnect lines into MOFs by exposure to organic linker vapor. The proposed strategy is validated for thin films of the zeolitic imidazolate frameworks ZIF-8 and ZIF-67, formed in 2-methylimidazole vapor from ALD ZnO and native CoOx, respectively. Both materials show a Young's modulus and dielectric constant comparable to state-of-the-art porous organosilica dielectrics. Moreover, the fast nucleation and volume expansion accompanying the oxide-to-MOF conversion enable uniform growth and gap-filling of narrow trenches, as demonstrated for 45 nm half-pitch fork-fork capacitors.

SELECTION OF CITATIONS
SEARCH DETAIL
...