Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 20 de 85
Filter
1.
Small ; : e2402608, 2024 Jun 09.
Article in English | MEDLINE | ID: mdl-38853133

ABSTRACT

The atomic/molecular layer deposition (ALD/MLD) technique combining both inorganic and organic precursors is strongly emerging as a unique tool to design exciting new functional metal-organic thin-film materials. Here, this method is demonstrated to work even at low deposition temperatures and can produce highly stable and conformal thin films, fulfilling the indispensable prerequisites of today's 3D microelectronics and other potential industrial applications. This new ALD/MLD process is developed for Zn-organic thin films grown from non-pyrophoric bis-3-(N,N-dimethylamino)propyl zinc [Zn(DMP)2] and 1,4-benzene dithiol (BDT) precursors. This process yields air-stable Zn-BDT films with appreciably high growth per cycle (GPC) of 4.5 Å at 60 °C. The Zn/S ratio is determined at 0.5 with Rutherford backscattering spectrometry (RBS), in line with the anticipated (Zn─S─C6H6─S─)n bonding scheme. The high degree of conformality is shown using lateral high-aspect-ratio (LHAR) test substrates; scanning electron microscopy (SEM) analysis shows that the film penetration depth (PD) into the LHAR structure with cavity height of 500 nm is over 200 µm (i.e., aspect-ratio of 400). It is anticipated that the electrically insulating metal-organic Zn-BDT thin films grown via the solvent-free ALD/MLD technique, can be excellent barrier layers for temperature-sensitive and flexible electronic devices.

2.
Dalton Trans ; 53(18): 7711-7720, 2024 May 07.
Article in English | MEDLINE | ID: mdl-38619887

ABSTRACT

Thin films of Al as interconnect materials and those of AlN as wide bandgap semiconductor and piezoelectric material are of great interest for microelectronic applications. For the fabrication of these thin films via chemical vapor deposition (CVD) based routes, the available precursor library is rather limited, mostly comprising aluminium alkyls, chlorides, and few small amine-stabilized aluminium hydrides. Herein, we focused on rational precursor development for Al, their characterization and comparison to existing precursors comprising stabilized aluminium hydrides. We present and compare a series of potentially new and reported aluminium hydride precursors divided into three main groups with respect to their stabilization motive, and their systematic structural variation to evaluate the physicochemical properties. All compounds were comprehensively characterized by means of nuclear magnetic resonance spectroscopy (NMR), Fourier-transform infrared spectroscopy (FTIR), elemental analysis (EA), electron-impact ionization mass spectrometry (EI-MS) and thermogravimetric analysis (TGA). Promising representatives were further evaluated as potential single source precursors for aluminium metal formation in proof-of-concept experiments. Structure and reaction enthalpies with NH3 or H2 as co-reactants were calculated via first principles density functional theory simulations and show the great potential as atomic layer deposition (ALD) precursors for Al and AlN thin films.

3.
Chemistry ; : e202401275, 2024 Apr 24.
Article in English | MEDLINE | ID: mdl-38656605

ABSTRACT

With a focus on Mn based organometallic compounds with suitable physico-chemical properties to serve as precursors for chemical vapor deposition (CVD) and atomic layer deposition (ALD) of Mn-containing materials, systematic synthetic approaches with ligand variation, detailed characterization, and theoretical input from density functional theory (DFT) studies are presented. A series of new homoleptic all-nitrogen and mixed oxygen/nitrogen-coordinated Mn(II) complexes bearing the acetamidinate, formamidinate, guanidinate and ß-ketoiminate ligands have been successfully synthesized for the first time. The specific choice of these ligand classes with changes in structure and coordination sphere and side chain variations result in significant structural differences whereby mononuclear and dinuclear complexes are formed. This was supported by density functional theory (DFT) studies. The compounds were thoroughly characterized by single crystal X-ray diffraction, magnetic measurements, mass spectrometry and elemental analysis. To evaluate their suitability as precursors for deposition of Mn-based materials, the thermal properties were investigated in detail. Mn(II) complexes possessing the most promising thermal properties, namely Bis(N,N´-ditertbutylformamidinato)manganese(II) (IV) and Bis(4-(isopropylamino)pent-3-en-2-onato)manganese(II) (ßIII) were used in reactivity studies with DFT to explore their interaction with oxidizing co-reactants such as oxygen and water which will guide future CVD and ALD process development.

4.
Angew Chem Int Ed Engl ; 63(8): e202312123, 2024 Feb 19.
Article in English | MEDLINE | ID: mdl-38010868

ABSTRACT

A critical step in photocatalytic water dissociation is the hole-mediated oxidation reaction. Molecular-level insights into the mechanism of this complex reaction under realistic conditions with high temporal resolution are highly desirable. Here, we use femtosecond time-resolved, surface-specific vibrational sum frequency generation spectroscopy to study the photo-induced reaction directly at the interface of the photocatalyst TiO2 in contact with liquid water at room temperature. Thanks to the inherent surface specificity of the spectroscopic method, we can follow the reaction of solely the interfacial water molecules directly at the interface at timescales on which the reaction takes place. Following the generation of holes at the surface immediately after photoexcitation of the catalyst with UV light, water dissociation occurs on a sub-20 ps timescale. The reaction mechanism is similar at pH 3 and 11. In both cases, we observe the conversion of H2 O into Ti-OH groups and the deprotonation of pre-existing Ti-OH groups. This study provides unique experimental insights into the early steps of the photo-induced dissociation processes at the photocatalyst-water interface, relevant to the design of improved photocatalysts.

5.
ACS Mater Au ; 3(4): 274-298, 2023 Jul 12.
Article in English | MEDLINE | ID: mdl-38090128

ABSTRACT

Due to concerns on resources depletion, climate change, and overall pollution, the quest toward more sustainable processes is becoming crucial. Atomic layer deposition (ALD) is a versatile technology, allowing for the precise coating of challenging substrates with a nanometer control over thickness. Due to its unique ability to nanoengineer interfaces and surfaces, ALD is widely used in many applications. Although the ALD technique offers the potential to tackle environmental challenges, in particular, considerations regarding the sustainability of renewable energy devices urge for greater efficiency and lower carbon footprint. Indeed, the process itself has currently a consequential impact on the environment, which should ideally be reduced as the technique is implemented in a wider range of products and applications. This paper reviews the studies carried out on the assessment of the environmental impact of ALD and summarizes the main results reported in the literature. Next, the principles of green chemistry are discussed, considering the specificities of the ALD process. This work also suggests future pathways to reduce the ALD environmental impact; in particular, the optimization of the reactor and processing parameters, the use of high throughput processes such as spatial ALD (SALD), and the chemical design of greener precursors are proposed as efficient routes to improve ALD sustainability.

6.
Small ; 19(36): e2301774, 2023 Sep.
Article in English | MEDLINE | ID: mdl-37127863

ABSTRACT

Area-selective atomic layer deposition (AS-ALD) is a bottom-up nanofabrication method delivering single atoms from a molecular precursor. AS-ALD enables self-aligned fabrication and outperforms lithography in terms of cost, resistance, and equipment prerequisites, but it requires pre-patterned substrates and is limited by insufficient selectivity and finite choice of substrates. These challenges are circumvented by direct patterning with atomic-layer additive manufacturing (ALAM) - a transfer of 3D-printing principles to atomic-layer manufacturing where a precursor supply nozzle enables direct patterning instead of blanket coating. The reduced precursor vapor consumption in ALAM as compared with ALD calls for the use of less volatile precursors by replacing diethylzinc used traditionally in ALD with bis(dimethylaminopropyl)zinc, Zn(DMP)2 . The behavior of this novel ZnO ALAM process follows that of the corresponding ALD in terms of deposit quality and growth characteristics. The temperature window for self-limiting growth of stoichiometric, crystalline material is 200-250 °C. The growth rates are 0.9 Å per cycle in ALD (determined by spectroscopic ellipsometry) and 1.1 Å per pass in ALAM (imaging ellipsometry). The preferential crystal orientation increases with temperature, while energy-dispersive X-ray spectroscopic and XPS show that only intermediate temperatures deliver stoichiometric ZnO. A functional thin-film transistor is created from an ALAM-deposited ZnO line and characterized.

7.
Article in English | MEDLINE | ID: mdl-36888913

ABSTRACT

Molybdenum oxide thin films are very appealing for gas sensing applications due to their tunable material characteristics. Particularly, the growing demand for developing hydrogen sensors has triggered the exploration of functional materials such as molybdenum oxides (MoOx). Strategies to enhance the performance of MoOx-based gas sensors include nanostructured growth accompanied by precise control of composition and crystallinity. These features can be delivered by using atomic layer deposition (ALD) processing of thin films, where precursor chemistry plays an important role. Herein, we report a new plasma-enhanced ALD process for molybdenum oxide employing the molybdenum precursor [Mo(NtBu)2(tBu2DAD)] (DAD = diazadienyl) and oxygen plasma. Analysis of the film thickness reveals typical ALD characteristics such as linearity and surface saturation with a growth rate of 0.75 Å/cycle in a broad temperature window between 100 and 240 °C. While the films are amorphous at 100 °C, crystalline ß-MoO3 is obtained at 240 °C. Compositional analysis reveals nearly stoichiometric and pure MoO3 films with oxygen vacancies present at the surface. Subsequently, hydrogen gas sensitivity of the molybdenum oxide thin films is demonstrated in a laboratory-scale chemiresistive hydrogen sensor setup at an operation temperature of 120 °C. Sensitivities of up to 18% are achieved for the film deposited at 240 °C, showing a strong correlation between crystallinity, oxygen vacancies at the surface, and hydrogen gas sensitivity.

8.
Eur J Mass Spectrom (Chichester) ; 29(1): 12-20, 2023 Feb.
Article in English | MEDLINE | ID: mdl-36579795

ABSTRACT

Electron ionization mass spectrometry (EI-MS) is often used to characterize volatile and thermally stable organometallic complexes relevant for chemical vapor deposition (CVD) processes. However, this method has limitations for thermally unstable and labile organometallic complexes. In this context, EI-MS is not the preferred method of choice for characterizing such compounds. With three different representative organometallic complexes based on the transition metals yttrium, iridium, and silver, relevant as precursors for CVD of different materials, the significance of liquid injection field desorption/ionization mass spectrometry (LIFDI-MS) as an important precursor characterization tool is exemplified. The precursors are not only reactive toward ambient air, but also thermally labile especially in the case of iridium and silver complexes. As a promising alternative, LIFDI-MS is used to overcome the limitations of EI-MS. For the first time, these complexes were successfully analyzed using LIFDI-MS. The comparison between EI-MS and LIFDI-MS highlights that LIFDI-MS is superior for the mass spectrometric analysis of sensitive and labile complexes. In terms of precursor characterization, LIFDI-MS can be fully exploited to gain valuable insights into the decomposition mechanisms and identifying the nuclearity of organometallic precursors used for CVD applications.

9.
Small ; 19(9): e2205602, 2023 Mar.
Article in English | MEDLINE | ID: mdl-36521931

ABSTRACT

2D membranes such as artificially perforated graphene are deemed to bring great advantages for molecular separation. However, there is a lack of structure-property correlations in graphene membranes as neither the atomic configurations nor the number of introduced sub-nanometer defects are known precisely. Recently, bilayer silica has emerged as an inherent 2D membrane with an unprecedentedly high areal density of well-defined pores. Mass transfer experiments with free-standing SiO2 bilayers demonstrated a strong preference for condensable fluids over inert species, and the measured membrane selectivity revealed a key role of intermolecular forces in ångstrom-scale openings. In this study, vapor permeation measurements are combined with quantitative adsorption experiments and density functional theory (DFT) calculations to get insights into the mechanism of surface-mediated transport in vitreous 2D silicon dioxide. The membranes are shown to exhibit molecular sieving performance when exposed to vaporous methanol, ethanol, isopropanol, and tert-butanol. The results are normalized to the coverage of physisorbed molecules and agree well with the calculated energy barriers.

10.
Small ; 19(1): e2204636, 2023 Jan.
Article in English | MEDLINE | ID: mdl-36354167

ABSTRACT

The intrinsic properties of semiconducting oxides having nanostructured morphology are highly appealing for gas sensing. In this study, the fabrication of nanostructured WO3 thin films with promising surface characteristics for hydrogen (H2 ) gas sensing applications is accomplished. This is enabled by developing a chemical vapor deposition (CVD) process employing a new and volatile tungsten precursor bis(diisopropylamido)-bis(tert-butylimido)-tungsten(VI), [W(Nt Bu)2 (Ni Pr2 )2 ]. The as-grown nanostructured WO3 layers are thoroughly analyzed. Particular attention is paid to stoichiometry, surface characteristics, and morphology, all of which strongly influence the gas-sensing potential of WO3 . Synchrotron-based ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), X-ray photoelectron emission microscopy (XPEEM), low-energy electron microscopy (LEEM) and 4-point van der Pauw (vdP) technique made it possible to analyze the surface chemistry and structural uniformity with a spatially resolved insight into the chemical, electronic and electrical properties. The WO3 layer is employed as a hydrogen (H2 ) sensor within interdigitated mini-mobile sensor architecture capable of working using a standard computer's 5 V 1-wirebus connection. The sensor shows remarkable sensitivity toward H2 . The high, robust, and repeatable sensor response (S) is attributed to the homogenous distribution of the W5+ oxidation state and associated oxygen vacancies, as shown by synchrotron-based UPS, XPS, and XPEEM analysis.

11.
ACS Appl Mater Interfaces ; 14(46): 52149-52162, 2022 Nov 23.
Article in English | MEDLINE | ID: mdl-36351209

ABSTRACT

Thin films of iridium can be utilized in a wide range of applications and are particularly interesting for catalytic transformations. For the scalable deposition of functional Ir thin films, metalorganic chemical vapor deposition (MOCVD) is the method of choice, for which organometallic precursors that embody a high volatility and thermal stability need to be specifically tailored. Herein, we report the synthesis, analysis, and evaluation of new volatile Ir(I)-1,5-cyclooctadiene complexes bearing all-nitrogen coordinating guanidinate (N,N'-diisopropyl-2-dimethylamido-guanidinate (DPDMG)), amidinate (N,N'-diisopropyl-amidinate (DPAMD)), and formamidinate (N,N'-diisopropyl-formamidinate (DPfAMD)) ligands. The amidinate-based Ir complex [Ir(COD)(DPAMD)] together with O2 was implemented in MOCVD experiments resulting in highly crystalline, dense, and conductive Ir films on a variety of substrate materials. The Ir deposits achieved outstanding electrochemical performance with overpotentials in the range of 50 mV at -10 mA·cm-2 for catalytic hydrogen evolution reaction (HER) in acidic solution. The ability to deposit Ir layers via MOCVD exhibiting promising functional properties is a significant step toward large-scale applications.

12.
Small ; 18(50): e2204828, 2022 Dec.
Article in English | MEDLINE | ID: mdl-36310138

ABSTRACT

Highly selective and sensitive H2 S sensors are in high demand in various fields closely related to human life. However, metal oxide semiconductors (MOSs) suffer from poor selectivity and single MOS@metal organic framework (MOF) core-shell nanocomposites are greatly limited due to the intrinsic low sensitivity of MOF shells. To simultaneously improve both selectivity and sensitivity, heterostructured α-Fe2 O3 @ZnO@ZIF-8 core-shell nanowires (NWs) are meticulously synthesized with the assistance of atomic layer deposition. The ZIF-8 shell with regular pores and special surface functional groups is attractive for excellent selectivity and the heterostructured α-Fe2 O3 @ZnO core with an additional electron depletion layer is promising with enhanced sensitivity compared to a single MOS core. As a result, the heterostructured α-Fe2 O3 @ZnO@ZIF-8 core-shell NWs achieve remarkable H2 S sensing performance with a high response (Rair /Rgas  = 32.2 to 10 ppm H2 S), superior selectivity, fast response/recovery speed (18.0/31.8 s), excellent long-term stability (at least over 3 months), and relatively low limit of detection (down to 200 ppb) at low operating temperature of 200 °C, far beyond α-Fe2 O3 @ZIF-8 or α-Fe2 O3 @ZnO core-shell NWs. Furthermore, a micro-electromechanical system-based H2 S gas sensor system with low power consumption is developed, holding great application potential in smart cities.

13.
Dalton Trans ; 51(39): 14970-14979, 2022 Oct 11.
Article in English | MEDLINE | ID: mdl-36111964

ABSTRACT

Tin monoxide (SnO) is a promising oxide semiconductor which is appealing for a wide range of applications from channel materials in p-type field effect transistors (FET) to electrode materials searched for next-generation batteries. For the controlled growth of SnO films at low temperatures, atomic layer deposition (ALD) is employed in this study, where the choice of the precursor plays a significant role. A comparative thermal evaluation of four different amidinate-based tin(II) precursors and the influence of the ligand sphere on their physicochemical properties revealed that bis(N,N'-diisopropylformamidinato tin(II) (1) possesses the required volatility, good thermal stability and sufficient reactivity towards water, to be implemented as the ALD precursor. The water-assisted ALD process resulted in crystalline SnO films on Si substrates with a growth per cycle (GPC) of 0.82 Å at temperatures as low as 140 °C. By employing complementary analytical tools, namely, X-ray diffraction (XRD), atomic force microscopy (AFM), X-ray reflectivity (XRR), Rutherford backscattering spectrometry/nuclear reaction analysis (RBS/NRA) and X-ray photoelectron spectroscopy (XPS), the formation of tin monoxide was confirmed. Finally, the optical properties of the as-deposited films were analyzed via UV-Vis spectroscopy, exhibiting a band gap of 2.74 eV, which further confirms the formation of the targeted SnO phase.

14.
Dalton Trans ; 51(38): 14508-14516, 2022 Oct 04.
Article in English | MEDLINE | ID: mdl-36069813

ABSTRACT

The combined atomic/molecular layer deposition (ALD/MLD) technique is emerging as a state-of-the-art synthesis route for new metal-organic thin-film materials with a multitude of properties by combining those of the inorganic and the organic material. A major part of the studies so far reported have focused on aluminum or zinc alkyls, so-called alucone and zincone films, typically grown from trimethyl aluminum (TMA) or diethyl zinc (DEZ) as the metal-bearing precursor, and a simple aliphatic bi-functional alcohol molecule such as ethylene glycol (EG) as the organic precursor. However, these common precursors possess certain disadvantages: both TMA and DEZ are pyrophoric, DEZ being additionally thermally unstable, while EG has a strong tendency for various unideal reaction modes. Here we report novel ALD/MLD processes for alucone and zincone films based on non-pyrophoric bis-diisopropylamido-[3-(N,N-dimethylamino)propyl] aluminum(III) [Al(NiPr2)2(DMP)] and bis-3-(N,N-dimethylamino)propyl zinc(II) [Zn(DMP)2] precursors in combination with hydroquinone (HQ) as the organic precursor. We demonstrate that the [Al(NiPr2)2(DMP)] + HQ and [Zn(DMP)2] + HQ ALD/MLD processes work even at record low deposition temperatures (140 °C and 60 °C, respectively) yielding high-quality and relatively stable Al-HQ and Zn-HQ thin films with appreciably high growth rates (2.8 Å / cycle and 3.2 Å / cycle, respectively). Moreover, these ALD/MLD processes are compatible with the corresponding ALD processes, i.e. [Al(NiPr2)2(DMP)] + H2O and [Zn(DMP)2] + H2O, for the Al2O3 and ZnO films, thus opening up new horizons for the fabrication of novel metal-oxide : organic superlattice structures for e.g. flexible gas-barrier coatings or wearable thermoelectrics.

15.
J Colloid Interface Sci ; 622: 156-168, 2022 Sep 15.
Article in English | MEDLINE | ID: mdl-35490619

ABSTRACT

Highly sensitive and stable acetone gas sensors based on MEMS substrate supported carbon nanoparticles decorated mesoporous α-Fe2O3 (C-d-mFe2O3) nanorods (NRs) derived from Fe-MIL-88B-NH2 NRs were first synthesized via a sequential process including a facile hydrothermal reaction and one-step pyrolysis at a moderate temperature in air. The MEMS architecture ensures low power consumption, small size, and high integration of the sensor. The obtained C-d-mFe2O3 NRs exhibit good thermal stability and superior acetone sensing performance with excellent response (Ra/Rg = 5.2 to 2.5 ppm) and selectivity, fast response/recovery speed (10/27 s), and low detection limit of 500 ppb at 225 °C. Furthermore, the acetone sensor exhibits remarkable long-term stability and repeatability even after being stored in air for over 10 months. The enhanced acetone sensing performance could be attributed to the large specific surface area of mesoporous α-Fe2O3 NRs, highly conductive carbon nanoparticles on the surface, and the formation of α-Fe2O3/C heterojunction. Density functional theory (DFT) calculations help to further confirm the superior acetone sensing performance. The competitive performance makes C-d-mFe2O3 NRs gas sensor a great potential for practical application in environmental harmful acetone gas monitoring.


Subject(s)
Micro-Electrical-Mechanical Systems , Nanotubes , Acetone , Carbon , Temperature
16.
ACS Appl Mater Interfaces ; 14(10): 12845-12854, 2022 Mar 16.
Article in English | MEDLINE | ID: mdl-35232015

ABSTRACT

The preparation and manipulation of crystalline yet bendable functional complex oxide membranes has been a long-standing issue for a myriad of applications, in particular, for flexible electronics. Here, we investigate the viability to prepare magnetic and crystalline CoFe2O4 (CFO) membranes by means of the Sr3Al2O6 (SAO) sacrificial layer approach using chemical deposition techniques. Meticulous chemical and structural study of the SAO surface and SAO/CFO interface properties have allowed us to identify the formation of an amorphous SAO capping layer and carbonates upon air exposure, which dictate the crystalline quality of the subsequent CFO film growth. Vacuum annealing at 800 °C of SAO films promotes the elimination of the surface carbonates and the reconstruction of the SAO surface crystallinity. Ex-situ atomic layer deposition of CFO films at 250 °C on air-exposed SAO offers the opportunity to avoid high-temperature growth while achieving polycrystalline CFO films that can be successfully transferred to a polymer support preserving the magnetic properties under bending. Float on and transfer provides an alternative route to prepare freestanding and wrinkle-free CFO membrane films. The advances and challenges presented in this work are expected to help increase the capabilities to grow different oxide compositions and heterostructures of freestanding films and their range of functional properties.

17.
Dalton Trans ; 51(14): 5603-5611, 2022 Apr 05.
Article in English | MEDLINE | ID: mdl-35315479

ABSTRACT

An atomic/molecular layer deposition (ALD/MLD) process for the fabrication of cerium-based metal-organic hybrid films is demonstrated for the first time. The highly reactive cerium(III) guanidinate precursor [Ce(dpdmg)3] was employed in combination with organic precursors composed of rigid backbones, terephthalic acid (TPA) and hydroquinone (HQ) for the growth of the respective hybrid films. Growth rates of the films as high as 5.4 Å per cycle for Ce-TPA and 4.8 Å per cycle for Ce-HQ at a deposition temperature of 200 °C were obtained. Density functional theory (DFT) investigations confirm the favorable interaction between the cerium precursor and the organic co-reactants and predict that Ce maintains its +3 oxidation state in the films. This was also confirmed experimentally by X-ray photoelectron spectroscopy (XPS). Additionally, the films are highly UV absorbing. Hence, we envision that these films could find future application as promising redox active materials and/or UV absorbing materials.

18.
Chemistry ; 28(16): e202103798, 2022 Mar 16.
Article in English | MEDLINE | ID: mdl-35044704

ABSTRACT

Cu and Ag precursors that are volatile, reactive, and thermally stable are currently of high interest for their application in atomic-layer deposition (ALD) of thin metal films. In pursuit of new precursors for coinage metals, namely Cu and Ag, a series of new N-heterocyclic carbene (NHC)-based CuI and AgI complexes were synthesized. Modifications in the substitution pattern of diketonate-based anionic backbones led to five monomeric Cu complexes and four closely related Ag complexes with the general formula [M(tBu NHC)(R)] (M=Cu, Ag; tBu NHC=1,3-di-tert-butyl-imidazolin-2-ylidene; R=diketonate). Thermal analysis indicated that most of the Cu complexes are thermally stable and volatile compared to the more fragile Ag analogs. One of the promising Cu precursors was evaluated for the ALD of nanoparticulate Cu metal deposits by using hydroquinone as the reducing agent at appreciably low deposition temperatures (145-160 °C). This study highlights the considerable impact of the employed ligand sphere on the structural and thermal properties of metal complexes that are relevant for vapor-phase processing of thin films.

19.
Nano Lett ; 22(3): 1287-1293, 2022 02 09.
Article in English | MEDLINE | ID: mdl-35044780

ABSTRACT

Graphene and other single-layer structures are pursued as high-flux separation membranes, although imparting porosity endangers their crystalline integrity. In contrast, bilayer silica composed of corner-sharing (SiO4) units is foreseen to be permeable for small molecules due to its intrinsic lattice openings. This study sheds light on the mass transport properties of freestanding 2D SiO2 upon using atomic layer deposition (ALD) to grow large-area films on Au/mica substrates followed by transfer onto Si3N4 windows. Permeation experiments with gaseous and vaporous substances reveal the suspended material to be porous, but the membrane selectivity appears to diverge from the size exclusion principle. Whereas the passage of inert gas molecules is hindered with a permeance below 10-7 mol·s-1·m-2·Pa-1, condensable species like water are found to cross vitreous bilayer silica a thousand times faster in accordance with their superficial affinity. This work paves the way for bilayer oxides to be addressed as inherent 2D membranes.


Subject(s)
Graphite , Silicon Dioxide , Gases/chemistry , Oxides , Porosity , Silicon Dioxide/chemistry
20.
Dalton Trans ; 51(4): 1384-1394, 2022 Jan 25.
Article in English | MEDLINE | ID: mdl-34989363

ABSTRACT

The vapor phase infiltration (VPI) process of trimethyl aluminum (TMA) into poly(4-acetoxystyrene) (POAcSt), poly(nonyl methacrylate) (PNMA) and poly(tert-butyl methacrylate) (PtBMA) is reported. Depth-profiling X-ray photoelectron spectroscopy (XPS) measurements are used for the first time for VPI based hybrid materials to determine the aluminum content over the polymer film thickness. An understanding of the reaction mechanism on the interaction of TMA infiltrating into the different polymers was obtained through infrared (IR) spectroscopy supported by density functional theory (DFT) studies. It is shown that the loading with aluminum is highly dependent on the respective ester side group of the used polymer, which is observed to be the reactive site for TMA during the infiltration. IR spectroscopy hints that the infiltration is incomplete for POAcSt and PNMA, as indicated by the characteristic vibration bands of the aluminum coordination to the carbonyl groups within the polymers. In this context, two different reaction pathways are discussed. One deals with the formation of an acetal, the other is characterized by the release of a leaving group. Both were found to be in direct concurrence dependent on the polymer side group as revealed by DFT calculations of the IR spectra, as well as the reaction energies of two possible reaction paths. From this study, one can infer that the degree of infiltration in a VPI process strongly depends on the polymer side groups, which facilitates the choice of the polymer for targeted applications.

SELECTION OF CITATIONS
SEARCH DETAIL
...