Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 20 de 43
Filter
Add more filters










Publication year range
1.
Opt Lett ; 49(13): 3604-3607, 2024 Jul 01.
Article in English | MEDLINE | ID: mdl-38950220

ABSTRACT

Beyond extreme ultraviolet (BEUV) lithography with a 6 × nm wavelength is regarded as a future technique to continue the pattern shirking in integrated circuit (IC) manufacturing. This work proposes an optimization method for the mask structure to improve the imaging quality of BEUV lithography. Firstly, the structure of mask multilayers is optimized to maximize its reflection coefficient. Then, a mask diffraction near-field (DNF) model is established based on the Born series algorithm, and the aerial image of BEUV lithography system can be further calculated. Additionally, the mask absorber structure is inversely designed using the particle swarm optimization (PSO) algorithm. Simulation results show a significant improvement of the BEUV lithography imaging obtained by the proposed optimization methods. The proposed workflow can also be expanded to areas of EUV and soft x ray imaging.

2.
Opt Express ; 32(9): 15993-16003, 2024 Apr 22.
Article in English | MEDLINE | ID: mdl-38859237

ABSTRACT

As the semiconductor technology node continues to shrink, achieving smaller critical dimension in lithography becomes increasingly challenging. Negative tone development (NTD) process is widely employed in advanced node due to their large process window. However, the unique characteristics of NTD, such as shrinkage effect, make the NTD resist model calibration more complex. Gradient descent (GD) and heuristic methods have been applied for calibration of NTD resist model. Nevertheless, these methods depend on initial parameter selection and tend to fall into local optima, resulting in poor accuracy of the NTD model and massive computational time. In this paper, we propose cluster sampling and scalable Bayesian optimization (BO) with constraints method for NTD resist model calibration. This approach utilizes cluster sampling strategy to enhance the capability for global initial sampling and employs scalable BO with constraints for global optimization of high-dimensional parameter space. With this approach, the calibration accuracy is significantly enhanced in comparison with results from GD and heuristic methods, and the computational efficiency is substantially improved compared with GD. By gearing up cluster sampling strategy and scalable BO with constraints, this method offers a new and efficient resist model calibration.

3.
Appl Opt ; 63(10): 2719-2727, 2024 Apr 01.
Article in English | MEDLINE | ID: mdl-38568557

ABSTRACT

Optical proximity correction (OPC) has become an indispensable step in integrated circuit manufacturing. It requires a huge amount of calculation to obtain a sufficiently accurate OPC model and implement pattern correction. In this paper, the authors proposed an edge-based OPC method built on a vector imaging model, where the analytical correlation between the cost function and movement of each edge segment is established by the chain rule. First, the mask pattern is segmented and downsampled to get the mask image in order to reduce the total data. Second, the aerial image, various parameters on each evaluating point, and the final cost value are obtained in proper sequence. In each part of the OPC process, the procedures of solution and derivation are both recorded. After obtaining the cost value, the chain rule is applied, by which the differential relation between the cost value and movement of each segment is built. According to this differential relation, the next movement of each segment is decided under a quasi-Newton method. All results obtained by the proposed method are compared with results from commercial software. The comparison shows that the proposed OPC method has good OPC accuracy in few iterations.

4.
Appl Opt ; 63(9): 2263-2270, 2024 Mar 20.
Article in English | MEDLINE | ID: mdl-38568581

ABSTRACT

The attenuated phase-shift mask (Att. PSM) is proven to be a promising resolution enhancement technology (RET) to improve the imaging performance in extreme ultraviolet (EUV) lithography. However, due to the reflective nature of the mask structure, the serious shadowing effect can affect the diffraction near field of the mask intensely and further impact the lithography imaging. With the purpose of improving the contrast of lithography imaging, a novel structure of the Att. PSM, to the best of our knowledge, is proposed in this paper. By introducing an absorbent sidewall along the edge of the mask absorber, the diffraction and shadowing effects can be mitigated. By applying the Kirchhoff approximation of mask diffraction, the ability of the novel structure to improve imaging performance is theoretically analyzed. Additionally, these analyses are confirmed by rigorous lithography simulations. The simulation results demonstrate that the proposed mask structure can improve the imaging contrast of EUV lithography, which has potential usage in advanced integrated circuit (IC) manufacturing.

5.
Opt Express ; 32(4): 5323-5338, 2024 Feb 12.
Article in English | MEDLINE | ID: mdl-38439262

ABSTRACT

Lithography is one of the most critical processes in the manufacturing of micro- and nano-devices. As device critical dimensions continue to shrink, variations in system parameters during the lithography process often result in heavy deviations from the intended targets, making control of these parameters crucial to ensure that lithography results meet process requirements. Gaining a thorough comprehension of how various parameters interact and contribute to lithography errors is significant, and it is equally important to offer precise suggestions for managing these parameters in extreme ultraviolet lithography (EUVL) scanners. This paper analyzes the key physical factors in the light source, illumination system and projection system of EUVL scanners and proposes what we believe to be a new methodology of budget analysis utilizing the superposition of light intensity fluctuations. Then the corresponding characteristics of light intensity fluctuations are analyzed when these parameters have fluctuated through theoretical formula derivation. A mapping model was established between parameter fluctuations and imaging outcomes through the distribution of light intensity. The yield requirements for critical dimension and pattern shift in EUVL are used to determine the exact budget range for each parameter in the proposed methodology. By controlling the parameters according to the budget analysis method proposed in this paper, the deviation between the experimental results from the yield requirements is no more than 0.1% in average. This approach allows for dynamic updating of the control range of relevant parameters based on their distinct characteristics to accommodate the unique fingerprints of various EUVL scanners. Furthermore, based on this adaptive budget range of multiple parameters, it can offer distinct direction for the development of lithography equipment or serve as a clear indication for parameter monitoring.

6.
Appl Opt ; 62(27): 7270-7279, 2023 Sep 20.
Article in English | MEDLINE | ID: mdl-37855584

ABSTRACT

By analyzing the impact of aberration in an extreme ultraviolet lithography projector on the imaging indicators of the test patterns for a contact layer in a 5 nm technology node, this paper establishes a mathematical aberration model based on the back propagating neutral network. On the basis of an aberration model, a method for estimating the aberration budget is proposed, which can help reduce the difficulty of achieving imaging performance thresholds in actual production. The performance of the results given by this method is verified by using a rigorous simulation. The results show that the model is highly accurate in predicting an aberration distribution that meets the requirements through an inverse sensitivity analysis and can calculate the wavefront aberration margin based on imaging indicators.

7.
Appl Opt ; 62(25): 6561-6570, 2023 Sep 01.
Article in English | MEDLINE | ID: mdl-37706786

ABSTRACT

Calculating the diffraction near field (DNF) of a three-dimensional (3D) mask is a key problem in the extreme ultraviolet (EUV) lithography imaging modeling. This paper proposes a fast DNF model of an EUV mask based on the asymmetric patch data fitting method. Due to the asymmetric imaging characteristics of the EUV lithography system, a DNF library is built up including the training mask patches posed in different orientations and their rigorous DNF results. These training patches include some representative local mask features such as the convex corners, concave corners, and edge segments in four directions. Then, a convolution-based compact model is developed to rapidly simulate the DNFs of 3D masks, where the convolution kernels are inversely calculated to fit all of the training data. Finally, the proposed model is verified by simulation experiments. Compared to a state-of-the-art EUV mask model based on machine learning, the proposed method can further reduce the computation time by 60%-70% and roughly obtain the same simulation accuracy.

8.
Opt Express ; 31(12): 20321-20337, 2023 Jun 05.
Article in English | MEDLINE | ID: mdl-37381429

ABSTRACT

The simulation of thick-mask diffraction near-field (DNF) is an indispensable process in aerial image calculation of immersion lithography. In practical lithography tools, the partially coherent illumination (PCI) is applied since it can improve the pattern fidelity. Therefore, it is necessary to precisely simulate the DNFs under PCI. In this paper, a learning-based thick-mask model proposed in our previous work is extended from the coherent illumination condition to PCI condition. The training library of DNF under oblique illumination is established based on the rigorous electromagnetic field (EMF) simulator. The simulation accuracy of the proposed model is also analyzed based on the mask patterns with different critical dimensions (CD). The proposed thick-mask model is shown to obtain high-precise DNF simulation results under PCI, and thus is suitable for 14 nm or larger technology nodes. Meanwhile, the computational efficiency of the proposed model is improved up to two orders of magnitude compared to the EMF simulator.

9.
Opt Express ; 31(1): 192-210, 2023 Jan 02.
Article in English | MEDLINE | ID: mdl-36606960

ABSTRACT

Plasmonic lithography can make the evanescent wave at the mask be resonantly amplified by exciting surface plasmon polaritons (SPPs) and participate in imaging, which breaks through the diffraction limit in conventional lithography. It provides a reliable technical way for the study of low-cost, large-area and efficient nanolithography technology. This paper introduces the characteristics of plasmonic lithography, the similarities and the differences with traditional DUV projection lithography. By comparing and analyzing the already existed fast imaging model of mask diffraction near-field (DNF) of DUV/EUV lithography, this paper introduces the decomposition machine learning method of mask diffraction near-field into the fast imaging of plasmonic lithography. A fast imaging model of plasmonic lithography for arbitrary two-dimensional pattern is proposed for the first time. This model enables fast imaging of the input binary 0&1 matrix of the mask directly to the light intensity distribution of photoresist image (PRI). The illumination method employs the normal incidence with x polarization, the normal incidence with y polarization and the quadrupole illumination with TM polarization respectively. The error and the operating efficiency between this fast imaging model and the rigorous electromagnetic model is compared. The test results show that compared with the rigorous electromagnetic computation model, the fast imaging model can greatly improve the calculation efficiency and maintain high accuracy at the same time, which provides great conditions for the development of computational lithography such as SMO/OPC for plasmonic lithography technology.

10.
Opt Express ; 30(19): 33869-33885, 2022 Sep 12.
Article in English | MEDLINE | ID: mdl-36242413

ABSTRACT

Plasmonic lithography can make the evanescent wave at the mask be resonantly amplified by exciting surface plasmon polariton (SPP) and participate in imaging, which can break through the diffraction limit in conventional lithography. It provides a reliable technical way for the study of low-cost, large-area and efficient nanolithography technology. However, there is also a phenomenon in plasmonic lithography similar to the forbidden pitch in conventional projection lithography. In this paper, combined with the imaging model and the optical transfer function (OTF), the theoretical analysis points out the reasons for the existence of the phenomenon of forbidden pitch in plasmonic lithography. Taking the 365 nm wavelength Ag thin film-based superlens imaging lithography as an example, the positions of the forbidden pitches of the 1:1 mask, the bright-field mask and the dark-field mask are calculated separately, and the key factors affecting their positions are pointed out. Simulation is carried out through commercial software, and the correctness of theoretical analysis is verified. Finally, we summarize and give some possible suggestions for solving this problem, including exploring better illumination methods, avoiding the patterns with forbidden pitch in the design, or by adding assistant feature to the design.

11.
Opt Express ; 30(11): 17680-17697, 2022 May 23.
Article in English | MEDLINE | ID: mdl-36221585

ABSTRACT

The thick-mask model had been used to simulate the diffraction behavior of the three-dimensional photomask in optical lithography system. By exploring the edge interference effect that appears in the diffraction near-field (DNF), an improved thick-mask model with high precision is proposed. The diffraction transfer matrix (DTM) is introduced to represent the transformation from the layout pattern to the corresponding DNF. In this method, the DTM is learned from a training library including the rigorous DNF of some representative mask clips. Given a thick-mask pattern, it is firstly decomposed into a set of segments around the sampling points at corners and edges. Then, the local DNF of each segment is calculated based on the corresponding DTM. Finally, all the local DNF segments are synthesized together to simulate the entire thick-mask DNF. The results show that the proposed method can significantly improve the simulation accuracy compared to the traditional filter-based method, meanwhile retaining a high computation speed.

12.
Opt Express ; 30(7): 11944-11958, 2022 Mar 28.
Article in English | MEDLINE | ID: mdl-35473126

ABSTRACT

The effects of thick-mask and oblique incidence in extreme ultraviolet (EUV) lithography system make the aerial image calculation a challenging task. This paper develops a fast EUV lithography aerial image model based on a new kind of deep learning framework called adjoint fully convolutional network (AFCN). The AFCN consists of two adjoint data paths to respectively recover the real part and imaginary part of the complex mask diffraction-near-field (DNF). The feature-swapping technique is introduced to exchange the information between the real and imaginary data paths. The AFCN is trained based on a pre-calculated rigorous thick-mask DNF dataset. Given the estimated thick-mask DNF, the Abbe's method is used to calculate the aerial image of the partially coherent lithography system. Compared to the traditional non-parametric kernel regression method, the proposed model reduces the error by more than 80% and achieves 25-fold improvement in computational efficiency.

13.
Int J Biol Macromol ; 201: 662-675, 2022 Mar 15.
Article in English | MEDLINE | ID: mdl-35077751

ABSTRACT

Poly (lactic acid) (PLA) blends with different toughening agents were prepared by melt compounding, and the effects of toughening agents on the toughness of PLA, especially the low-temperature toughness, were investigated. All blends were immiscible systems, but the rheological Cole-Cole diagram showed that the blends had certain compatibility, and the interfacial bonding of PLA/Ethylene/butyl methacrylate/Glycidyl Methacrylate Terpolymer (GEBMA) blend was the best. With addition of the toughening agents, all blends showed improvement of the tensile and impact toughness both at room temperature and low temperature. GEBMA was the best toughening agent, the elongation at break and impact strength at room temperature and low temperature were greatly improved. The elongation at break, tensile strength and impact strength of PLA blend with 20 wt% GEBMA at -20 °C was 55.8 MPa, 195.9% and 18.8 kJ/m2, respectively, which showed the reinforcement and super ductility at low temperature. However, the toughening effect of Poly (propylene carbonate) polyurethane (PPCU) at low temperature was poor. The Tg and interfacial bonding were the main factors affecting the toughness of the blends, especially at low temperature. The lower the Tg and the better the interfacial bonding, the better the toughness of the blends.


Subject(s)
Cold Temperature , Polyesters , Lactic Acid , Temperature , Tensile Strength
14.
Opt Express ; 29(18): 28872-28885, 2021 Aug 30.
Article in English | MEDLINE | ID: mdl-34615008

ABSTRACT

Mask blank defect is one of the most important factors that degrades the image quality of extreme ultraviolet (EUV) lithography system, and further leads to a yield lose. In order to compensate the amplitude and phase distortions caused by the EUV mask blank defects, this paper proposes an advanced algorithm to optimize the mask absorber pattern based on genetic algorithm. First, a successive approximation correction method is used to roughly compensate the effect of mask blank defect. Then, an advanced genetic algorithm is proposed to obtain higher efficiency and compensation accuracy, which uses an adaptive coding strategy and a fitness function considering normalized image log slope of lithography image. For illustration, the proposed method is verified based on rectangular contact patterns and complex pattern with different defects. The aerial images of optimized masks are evaluated by a commercial lithography simulator. It will show that the proposed method can mitigate the impact of mask defects, and improve the fidelity of lithography print image. The simulation results also demonstrate the higher convergence efficiency and mask manufacturability can be guaranteed by the proposed method.

15.
Int J Biol Macromol ; 190: 198-205, 2021 Nov 01.
Article in English | MEDLINE | ID: mdl-34492242

ABSTRACT

Highly toughened polylactide (PLA) nanocomposites with balanced stiffness and strength were successfully prepared by combining the modification of 5 wt% silica (SiO2) nanoparticles and uniaxial pre-stretching. The PLA/5 wt% SiO2 nanocomposites fractured in a brittle way due to the network structure composed of cohesional entanglements. After pre-stretching, the elongation at break was increased to 168% at pre-stretching ratio (PSR) of only 0.5, which should be attributed to the destruction of the network structure of cohesional entanglements. With the increment of PSR, the modulus and tensile strength were improved obviously (2725 MPa, 101.6 MPa at PSR = 2.0) while the elongation at break (56% at PSR = 2.0) reduced gradually because of the formation of orientation and mesophase. However, the elongation at break was still larger than that of undrawn PLA (5.4%) and undrawn PLA nanocomposites (7.2%), indicating that the uniaxial pre-stretching was an effect way to strengthen and toughen PLA nanocomposites.


Subject(s)
Biocompatible Materials/chemistry , Chemical Phenomena , Nanocomposites/chemistry , Polyesters/chemistry , Silicon Dioxide/chemistry , Calorimetry, Differential Scanning , Crystallization , Nanocomposites/ultrastructure , Spectroscopy, Fourier Transform Infrared , Stress, Mechanical , Temperature , X-Ray Diffraction
16.
Int J Biol Macromol ; 183: 1871-1880, 2021 Jul 31.
Article in English | MEDLINE | ID: mdl-34087292

ABSTRACT

Poly(L-lactic acid) (PLLA) blends with excellent low-temperature toughness and strength were prepared by melt compounding with acrylic ester based impact resistance agent (AEIR). The morphology, thermal properties, mechanical properties and biodegradability of the blends were investigated. Morphology observations revealed the blend was immiscible but had good compatibility with the dispersed phase size of about 200-300 nm. With the addition of AEIR, dramatic improvement in toughness of PLLA was achieved in a wide temperature range, especially at low temperatures the tensile strength was effectively remained. For the blend with 20 wt% AEIR, the tensile strength, elongation at break and impact strength were 51.6 MPa, 72% and 77.1 KJ/m2 at -20 °C, respectively, much greater than that reported. The calculated Tg of AEIR was lower than the test temperatures, and the brittle-tough transition occurred. The PLLA matrix demonstrated obvious shear yielding which induced energy dissipation and therefore lead to excellent toughness of the blends. Moreover, the biodegradation of PLLA was enhanced after blends preparation.


Subject(s)
Acrylates/chemistry , Polyesters/chemistry , Biochemical Phenomena , Calorimetry, Differential Scanning , Cold Temperature , Tensile Strength
17.
Appl Opt ; 60(5): 1341-1348, 2021 Feb 10.
Article in English | MEDLINE | ID: mdl-33690577

ABSTRACT

Extreme ultraviolet lithography (EUVL) presents promise for the advanced technology node in the manufacturing of integrated circuits. The imaging performance of EUVL is significantly affected by the aberration of projection optics. To obtain one optimum aberration for different test patterns, an inverse optimization method for aberration is proposed in this paper. The aberration models of three types of test patterns are first established by applying the backpropagation (BP) neural network. Then choosing the common indicators of the lithography process variation band (PVB) and pattern shift (PS) as the objective function, an aberration optimization method based on the algorithm of simulated annealing is proposed. After applying the optimization method, a set of optimized aberrations and the corresponding PVBs and PSs are obtained and analyzed. These results are finally compared with those from rigorous simulations. The comparison results show that zero aberration is non-optimal distribution in EUVL image simulation with mask topography. In addition, the high prediction accuracy and robustness of aberration optimization is also demonstrated from the results.

18.
Appl Opt ; 59(23): 7074-7082, 2020 Aug 10.
Article in English | MEDLINE | ID: mdl-32788802

ABSTRACT

Lens aberration is a critical factor affecting lithography, one that deteriorates the image fidelity and contrast. As the perfect lens does not exist, the aberration control is important for real optical systems, especially for extreme ultraviolet lithography (EUVL). By choosing the process variation band (PVB) and pattern shift (PS) as the lithographic performance indicators, the inverse analysis model for aberration control is proposed in this paper. First, the effects of aberration with 36 Zernike terms on lithography performance are forward analyzed. Using the definitive screening design (DSD) and with the help of statistical analysis methods of analysis of variance and F test, the combined Zernike terms leading to prominent PVB and PS are identified. After giving a brief introduction of backpropagation neural network (BPNN), the aberration control model based on DSD and BPNN is then established. Finally, several examples are analyzed to demonstrate the effectiveness and robustness of the aberration control model. Predicted results show that the optimum distribution of Zernike coefficients given by the aberration model can generate minimum impact on imaging quality, and this impact is very close to that of zero aberration. The results demonstrate that the BPNN-based aberration model has the potential to be an efficient guiding method for controlling the aberration of EUVL in the optical design stage.

19.
Opt Express ; 28(12): 18493-18506, 2020 Jun 08.
Article in English | MEDLINE | ID: mdl-32680047

ABSTRACT

Extreme ultraviolet (EUV) lithography mask defects may cause severe reflectivity deformation and phase shift in advanced nodes, especially like multilayer defects. Geometric parameter characterization is essential for mask defect compensation or repair. In this paper, we propose a machine learning framework to predict the geometric parameters of multilayer defects on EUV mask blanks. With the proposed inception modules and cycle-consistent learning techniques, the framework enables a novel way of defect characterization with high accuracy.

20.
Appl Opt ; 59(9): 2829-2838, 2020 Mar 20.
Article in English | MEDLINE | ID: mdl-32225832

ABSTRACT

Near-field calculation for a three-dimensional (3D) mask is a fundamental task in extreme ultraviolet (EUV) lithography simulations. This paper develops a fast 3D mask near-field calculation method based on machine learning for EUV lithography. First, the training libraries of rigorous mask near fields are built based on a set of representative mask samples and reference source points. In the testing stage, the mask under consideration is first segmented into a set of non-overlapped patches. Then the local near field of each patch is calculated based on the non-parametric regression and data fusion techniques. Finally, the entire mask near field is synthesized based on the image stitching and data fitting methods. The proposed method is shown to achieve higher accuracy compared to the traditional domain decomposition method. In addition, the computational efficiency is improved up to an order of magnitude compared to the rigorous electromagnetic field simulator.

SELECTION OF CITATIONS
SEARCH DETAIL
...