Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 20 de 28
Filter
Add more filters










Publication year range
1.
Appl Opt ; 59(7): 1846-1853, 2020 Mar 01.
Article in English | MEDLINE | ID: mdl-32225700

ABSTRACT

The 2019 Optical Interference Coatings measurement problem comprised the determination of the total backscattering, forward scattering, reflectance, and transmittance spectra of a multilayer system.

2.
Appl Opt ; 53(4): A197-204, 2014 Feb 01.
Article in English | MEDLINE | ID: mdl-24514215

ABSTRACT

Scattering from multilayer coatings depends on the roughness of each interface as well as their cross-correlation properties. By depositing thin film coatings under oblique incidence, the cross-correlation properties can be specifically adapted and consequently also the scattering characteristics. This will be illustrated for Mo/Si multilayers, for which a scattering reduction of more than 30% can be achieved. The characterization techniques used comprise of cross-sectional transmission electron microscopy, atomic force microscopy, and angle-resolved light scattering measurements at a wavelength of 13.5 nm.

3.
Appl Opt ; 53(4): A259-69, 2014 Feb 01.
Article in English | MEDLINE | ID: mdl-24514224

ABSTRACT

Light scattering measurement and analysis is a powerful tool for the characterization of optical and nonoptical surfaces. To enable a more comprehensive postmeasurement characterization, three visible laser sources were recently implemented in a highly sensitive table-top scatterometer with 3D spherical detection capability. Based on wavelength scaling, the instrument is utilized to characterize thin-film coatings and their substrates with respect to surface roughness, roughness growth, and contamination. Topographic measurement techniques are used to verify the results. The spectral sensitivity to contamination (scatter loss) is demonstrated to be significantly different for single surfaces and interference coatings. In addition, power losses of a highly reflective coating are analyzed.

4.
Appl Opt ; 53(4): A281-6, 2014 Feb 01.
Article in English | MEDLINE | ID: mdl-24514228

ABSTRACT

The 2013 Measurement Problem comprised the determination of the reflectance of a broadband antireflection (AR) coating in the spectral region from 400 to 700 nm at near 0° angle of incidence.

5.
Appl Opt ; 53(4): A35-41, 2014 Feb 01.
Article in English | MEDLINE | ID: mdl-24514238

ABSTRACT

The light scattering of interference coatings is strongly dependent on the wavelength. In addition to the general strong increase of scattering as the wavelengths get shorter, dramatic scatter effects in and around the resonance regions can occur. This is discussed in detail for highly reflective and chirped mirrors. A new instrument is presented which enables spectral angle resolved scatter measurements of high-quality optical components to be performed between 250 and 1500 nm.

6.
Appl Opt ; 52(26): 6579-88, 2013 Sep 10.
Article in English | MEDLINE | ID: mdl-24085136

ABSTRACT

Subsurface damage (SSD) in optical components is almost unavoidably caused by mechanical forces involved during grinding and polishing and can be a limiting factor, in particular for applications that require high laser powers or an extreme material strength. In this paper, we report on the characterization of SSD in ground and polished optical surfaces, using different light scattering measurement techniques in the visible and extreme ultraviolet spectral ranges. The materials investigated include fused silica, borosilicate glass, and calcium fluoride. The scattering results are directly linked to classical destructive SSD characterization techniques, based on white light interferometry, optical microscopy, and atomic force microscopy of the substrate topography and cross sections obtained after etching in hydrofluoric acid and fracturing.

7.
Appl Opt ; 52(14): 3279-87, 2013 May 10.
Article in English | MEDLINE | ID: mdl-23669841

ABSTRACT

Light scattering measurement and analysis is a powerful tool for the characterization of optical and nonoptical surfaces. A new 3D scatter measurement system based on a detector matrix is presented. A compact light-scatter sensor is used to characterize the scattering and nanostructures of surfaces and to identify the origins of anisotropic scattering features. The results from the scatter sensor are directly compared with white light interferometry to analyze surface defects as well as surface roughness and the corresponding scattering distributions. The scattering of surface defects is modeled based on the Kirchhoff integral equation and the approach of Beckmann for rough surfaces.

8.
Opt Express ; 21(23): 27852-64, 2013 Nov 18.
Article in English | MEDLINE | ID: mdl-24514302

ABSTRACT

Laser produced plasma sources are considered attractive for high-volume extreme-ultraviolet (EUV) lithography because of their high power at the target wavelength 13.5 nm. However, besides the required EUV light, a large amount of infrared (IR) light from the CO2 drive laser is scattered and reflected from the plasma as well as from the EUV mirrors in the optical system. Since these mirrors typically consist of molybdenum and silicon, the reflectance at IR wavelengths is even higher than in the EUV, which leads to high energy loads in the optical system. One option to reduce this is to structure the EUV multilayer, in particular the collector mirror, with an IR grating that has a high IR-suppression in the zeroth order. In this paper, the characterization of such an optical element is reported, including the IR-diffraction efficiency, the EUV performance (reflectance and scattering), and the relevant surface roughness. The measurement results are directly linked to the individual manufacturing steps.

9.
Opt Express ; 19(10): 9820-35, 2011 May 09.
Article in English | MEDLINE | ID: mdl-21643239

ABSTRACT

The light scattering of rough metallic surfaces with roughness levels ranging from a few to several hundred nanometers is modeled and compared to experimental data. Different modeling approaches such as the classical Rayleigh-Rice vector perturbation theory and the new Generalized Harvey-Shack theory are used and critically assessed with respect to ranges of validity, accuracy, and practicability. Based on theoretical calculations and comparisons with Rigorous Coupled Wave Analysis for sinusoidal phase gratings, it is demonstrated that the approximate scatter models yield surprisingly accurate results and at the same time provide insight into light scattering phenomena. For stochastically rough metal surfaces, the predicted angles resolved scattering is compared to experimental results at 325 nm, 532 nm, and 1064 nm. In addition, the possibilities of retrieving roughness information from measured scattering data for different roughness regimes are discussed.

10.
Appl Opt ; 50(9): C148-53, 2011 Mar 20.
Article in English | MEDLINE | ID: mdl-21460930

ABSTRACT

Scattering resulting from interface imperfections critically affects the image contrast and optical throughput of multilayer coatings for 13.5 nm. To investigate the scattering mechanisms, at-wavelength scattering measurements in combination with atomic force microscopy are analyzed for an in-depth characterization of the roughness properties. The different impacts of substrate finish and intrinsic thin film roughness on the scattering distribution are separated and analyzed in detail. Furthermore, a novel approach to characterize the roughness of large extreme ultraviolet substrates is presented, based on light scattering measurements at 442 nm.

11.
Appl Opt ; 50(9): C164-71, 2011 Mar 20.
Article in English | MEDLINE | ID: mdl-21460933

ABSTRACT

Light scattered from interface imperfections carries valuable information about its origins. For single surfaces, light-scattering techniques have become a powerful tool for the characterization of surface roughness. For thin-film coatings, however, solving the inverse scattering problem seemed to be impossible because of the large number of parameters involved. A simplified model is presented that introduces two parameters: Parameter δ describes optical thickness deviations from the perfect design, and parameter ß describes the roughness evolution inside the coating according to a power law. The new method is used to investigate structural and alteration effects of HR coatings for 193 nm, as well as laser-induced degradation effects in Rugate filters for 355 nm.

12.
Appl Opt ; 50(9): C172-7, 2011 Mar 20.
Article in English | MEDLINE | ID: mdl-21460934

ABSTRACT

The 2010 Measurement Problem comprised the determination of the reflectance of high-reflective dielectric mirrors at 1064 nm.

13.
Appl Opt ; 50(9): C257-63, 2011 Mar 20.
Article in English | MEDLINE | ID: mdl-21460949

ABSTRACT

With specific modeling, measurement, and analysis procedures, it is possible to predict, define, and control roughness structures for tailored wetting properties of optical coatings. Examples are given for superhydrophobic and hydrophilic sol-gel layers on glass substrate.

14.
Appl Opt ; 50(9): C321-8, 2011 Mar 20.
Article in English | MEDLINE | ID: mdl-21460959

ABSTRACT

Scatter analysis is an effective method for the characterization of thin film components. The new highly sensitive table top system ALBATROSS-TT (3D-Arrangement for Laser Based Transmittance, Reflectance and Optical Scatter Measurement-Table Top) has been developed at the Fraunhofer Institute in Jena to meet the specific requirements for close-to-process applications. Extremely high sensitivity with a noise equivalent angle resolved scatter level of 2×10(-8) sr(-1), full three-dimensional spherical measurement capability, and an instrument size as small as 0.8 m×0.8 m×0.8 m have been achieved. Details of specifications, optical components, and software are presented, including a comparison to our laboratory system. Anisotropy analysis of diamond-turned aluminum substrates as well as substrate and coating characterization are demonstrated as examples of application.

15.
Appl Opt ; 49(9): 1503-12, 2010 Mar 20.
Article in English | MEDLINE | ID: mdl-20300144

ABSTRACT

Roughness-induced light scattering critically affects the performance of optical components, in particular at short wavelengths. We present a stand-alone instrument for angle-resolved scattering and reflectance measurements at 13.5 nm in the extreme-ultraviolet (EUV) spectral range. The achieved dynamic range allows even the scattering of high-quality EUV mirrors on extremely smooth substrates to be investigated. For Mo/Si multilayers, total scatter losses of several percent have been observed, depending on the substrate qualities as well as on roughening and smoothing effects during coating. Different approximate models for estimating the impact of roughness on scatter losses are discussed and compared with experimental results.

16.
Appl Opt ; 47(19): 3455-62, 2008 Jul 01.
Article in English | MEDLINE | ID: mdl-18594592

ABSTRACT

The extreme-ultraviolet (EUV)-induced oxidation of Mo/Si multilayer mirrors was characterized by several methods: EUV reflectivity, x-ray photoelectron spectroscopy, small-angle x-ray reflectometry, atomic force microscopy, and EUV scattering measurements. Based on the results of the different investigation techniques, an oxidation model was developed to explain the degradation of the mirrors under EUV radiation.

17.
Appl Opt ; 47(13): C179-84, 2008 May 01.
Article in English | MEDLINE | ID: mdl-18449243

ABSTRACT

The 2007 Measurement Problem comprised measurements of the transmission and reflectance spectra and the determination of optical constants for a single oxide layer on fused silica. The angle of incidence was 45 degrees .

18.
Appl Opt ; 47(13): C88-97, 2008 May 01.
Article in English | MEDLINE | ID: mdl-18449277

ABSTRACT

Optical scattering arising from interface roughness and interference effects is a dominant loss mechanism of thin film coatings for 193 nm. A procedure is presented where at-wavelength scatter measurements in combination with atomic force microscopy are used as a tool for the in-depth characterization of the origins of scattering. For highly reflective coatings, the influence of the substrate roughness on the growth properties is analyzed. Moreover, the effects of interface roughness and optical thickness deviations on the scattering properties are separated. Furthermore, the procedure was used to investigate scattering properties of coatings at 45 degrees incidence and of coatings applied in immersion fluid that so far could not be accessed by direct measurement.

19.
Opt Express ; 15(21): 13997-4012, 2007 Oct 17.
Article in English | MEDLINE | ID: mdl-19550673

ABSTRACT

Highly reflective Molybdenum/Silicon multilayer mirrors for 13.5 nm are characterized at-wavelength using a new laboratory size measurement system for EUV reflectance and scattering. Roughness analysis before and after coating by Atomic Force Microscopy indicates roughness enhancement as well as smoothing effects during thin film growth. The impact of the substrate finish and the deposition process onto the scattering distribution and scatter losses with regard to the specular reflectance is analyzed.

20.
Appl Opt ; 45(7): 1397-401, 2006 Mar 01.
Article in English | MEDLINE | ID: mdl-16539242

ABSTRACT

Films with specific nanoroughness were designed by "virtual coating" to yield ultrahydrophobicity while the light scatter remained below an application-relevant threshold. Examples of coating experiments demonstrate the realization of predicted properties.

SELECTION OF CITATIONS
SEARCH DETAIL
...