Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 10 de 10
Filter
Add more filters










Publication year range
1.
ACS Nano ; 15(5): 9005-9016, 2021 May 25.
Article in English | MEDLINE | ID: mdl-33938722

ABSTRACT

Thermal silicon probes have demonstrated their potential to investigate the thermal properties of various materials at high resolution. However, a thorough assessment of the achievable resolution is missing. Here, we present a probe-based thermal-imaging technique capable of providing sub-10 nm lateral resolution at a sub-10 ms pixel rate. We demonstrate the resolution by resolving microphase-separated PS-b-PMMA block copolymers that self-assemble in 11 to 19 nm half-period lamellar structures. We resolve an asymmetry in the heat flux signal at submolecular dimensions and assess the ratio of heat flux into both polymers in various geometries. These observations are quantitatively compared with coarse-grained molecular simulations of energy transport that reveal an enhancement of transport along the macromolecular backbone and a Kapitza resistance at the internal interfaces of the self-assembled structure. This comparison discloses a tip-sample contact radius of a ≈ 4 nm and identifies combinations of enhanced intramolecular transport and Kapitza resistance.

2.
J Synchrotron Radiat ; 27(Pt 5): 1278-1288, 2020 Sep 01.
Article in English | MEDLINE | ID: mdl-32876603

ABSTRACT

An accurate knowledge of the parameters governing the kinetics of block copolymer self-assembly is crucial to model the time- and temperature-dependent evolution of pattern formation during annealing as well as to predict the most efficient conditions for the formation of defect-free patterns. Here, the self-assembly kinetics of a lamellar PS-b-PMMA block copolymer under both isothermal and non-isothermal annealing conditions are investigated by combining grazing-incidence small-angle X-ray scattering (GISAXS) experiments with a novel modelling methodology that accounts for the annealing history of the block copolymer film before it reaches the isothermal regime. Such a model allows conventional studies in isothermal annealing conditions to be extended to the more realistic case of non-isothermal annealing and prediction of the accuracy in the determination of the relevant parameters, namely the correlation length and the growth exponent, which define the kinetics of the self-assembly.

3.
Nanomaterials (Basel) ; 10(4)2020 Apr 10.
Article in English | MEDLINE | ID: mdl-32290062

ABSTRACT

This contribution explores different strategies to electrically contact vertical pillars with diameters less than 100 nm. Two process strategies have been defined, the first based on Atomic Force Microscope (AFM) indentation and the second based on planarization and reactive ion etching (RIE). We have demonstrated that both proposals provide suitable contacts. The results help to conclude that the most feasible strategy to be implementable is the one using planarization and reactive ion etching since it is more suitable for parallel and/or high-volume manufacturing processing.

4.
Nanomaterials (Basel) ; 10(1)2020 Jan 04.
Article in English | MEDLINE | ID: mdl-31947950

ABSTRACT

We present and discuss the capability of grain boundaries to induce order in block copolymer thin films between horizontally and vertically assembled block copolymer grains. The system we use as a proof of principle is a thermally annealed 23.4 nm full-pitch lamellar Polystyrene-block-polymethylmetacrylate (PS-b-PMMA) di-block copolymer. In this paper, grain-boundary-induced alignment is achieved by the mechanical removal of the neutral brush layer via atomic force microscopy (AFM). The concept is also confirmed by a mask-less e-beam direct writing process. An elongated grain of vertically aligned lamellae is trapped between two grains of horizontally aligned lamellae. This configuration leads to the formation of 90° twist grain boundaries. The features maintain their orientation on a characteristic length scale, which is described by the material's correlation length ξ. As a result of an energy minimization process, the block copolymer domains in the vertically aligned grain orient perpendicularly to the grain boundary. The energy-minimizing feature is the grain boundary itself. The width of the manipulated area (e.g., the horizontally aligned grain) does not represent a critical process parameter.

5.
ACS Appl Mater Interfaces ; 11(3): 3571-3581, 2019 Jan 23.
Article in English | MEDLINE | ID: mdl-30592206

ABSTRACT

High-density and high-resolution line and space patterns on surfaces are obtained by directed self-assembly of lamella-forming block copolymers (BCPs) using wide-stripe chemical guiding patterns. When the width of the chemical pattern is larger than the half-pitch of the BCP, the interaction energy between each BCP domain and the surface is crucial to obtain the desired segregated film morphology. We investigate how the intermixing between BCPs and polymer brush molecules on the surface influences the optimal surface and interface free energies to obtain a proper BCP alignment. We have found that computational models successfully predict the experimentally obtained guided patterns if the penetrability of the brush layer is taken into account instead of a hard, impenetrable surface. Experiments on directed self-assembly of lamella-forming poly(styrene- block-methyl methacrylate) using chemical guiding patterns corroborate the models used in the simulations, where the values of the surface free energy between the BCP and the guiding and background stripes are accurately determined using an experimental method based on the characterization of contact angles in droplets formed after dewetting of homopolymer blends.

6.
Soft Matter ; 14(33): 6799-6808, 2018 Sep 07.
Article in English | MEDLINE | ID: mdl-29998277

ABSTRACT

Extreme ultraviolet interference lithography (EUV-IL) is used to manufacture topographical guiding patterns to direct the self-assembly of block copolymers. High-accuracy silicon oxide-like patterns with trenches ranging from 68 nm to 117 nm width are fabricated by exposing a hydrogen silsesquioxane (HSQ) resist layer using EUV-IL. We investigate how the accuracy, the low line width roughness and the low line edge roughness of the resulting patterns allow achieving DSA line/space patterns of a PS-b-PMMA (polystyrene-block-poly methyl methacrylate) block copolymer of 11 nm half-pitch with low defectivity. We conduct an in-depth study of the dependence of the DSA pattern morphology on the trench width and on how the neutral brush covers the guiding pattern. We identify the relation between trench width and the emergence of defects with nanometer precision. Based on these studies, we develop a model that extends available free energy models, which allows us to predict the patterning process window.

7.
Ultramicroscopy ; 187: 20-25, 2018 04.
Article in English | MEDLINE | ID: mdl-29413408

ABSTRACT

The determination of nanomechanical properties is an intensive topic of study in several fields of nanophysics, from surface and materials science to biology. At the same time, amplitude modulation force microscopy is one of the most established techniques for nanoscale characterization. In this work, we combine these two topics and propose a method able to extract quantitative nanomechanical information from higher harmonic amplitude imaging in atomic force microscopy. With this method it is possible to discriminate between different materials in the stiffness range of 1-3 GPa, in our case thin films of PS-PMMA based block copolymers. We were able to obtain a critical lateral resolution of less than 20 nm and discriminate between materials with less than a 1 GPa difference in modulus. We show that within this stiffness range, reliable values of the Young's moduli can be obtained under usual imaging conditions and with standard dynamic AFM probes.

8.
Beilstein J Nanotechnol ; 8: 1972-1981, 2017.
Article in English | MEDLINE | ID: mdl-29046845

ABSTRACT

In recent years, block copolymer lithography has emerged as a viable alternative technology for advanced lithography. In chemical-epitaxy-directed self-assembly, the interfacial energy between the substrate and each block copolymer domain plays a key role on the final ordering. Here, we focus on the experimental characterization of the chemical interactions that occur at the interface built between different chemical guiding patterns and the domains of the block copolymers. We have chosen hard X-ray high kinetic energy photoelectron spectroscopy as an exploration technique because it provides information on the electronic structure of buried interfaces. The outcome of the characterization sheds light onto key aspects of directed self-assembly: grafted brush layer, chemical pattern creation and brush/block co-polymer interface.

9.
Langmuir ; 31(42): 11630-8, 2015 Oct 27.
Article in English | MEDLINE | ID: mdl-26445022

ABSTRACT

The mechanical properties of several types of block copolymer (BCP) thin films have been investigated using PeakForce quantitative nanomechanical mapping. The samples consisted of polystyrene/poly(methylmethacrylate) (PS/PMMA)-based BCP thin films with different pitches both randomly oriented and self-assembled. The measured films have a critical thickness below 50 nm and present features to be resolved of less than 22 nm. Beyond measuring and discriminate surface elastic modulus and adhesion forces of the different phases, we tuned the peak force parameters in order to reliably image those samples, avoiding plastic deformation. The method is able to detect the changes in mechanical response associated with the orientation of the PMMA cylinders with respect to the substrate (parallel versus vertical). The nanomechanical investigation is also capable of recognizing local stiffening due to the preferential growth of alumina deposited by atomic layer deposition on BCP samples, opening up new possibilities in the field of hard mask materials characterization.

10.
ACS Appl Mater Interfaces ; 6(23): 21596-602, 2014 Dec 10.
Article in English | MEDLINE | ID: mdl-25360636

ABSTRACT

The creation of highly efficient guiding patterns for the directed self-assembly of block copolymers by resistless nanolithography using atomic force microscopy (AFM) is demonstrated. It is shown that chemical patterns consisting of arrays of lines defined on a brush layer by AFM allow the alignment of the blocks of lamella-forming polymers. The main advantage of this method relies on the capability to create high-resolution (sub-10 nm line-width) guiding patterns and the reduction of the number of process steps compared to the state-of-the-art methods for creating guiding patterns by chemical surface modification. It is found that the guiding patterns induce the block alignment very efficiently, allowing the achievement of a density multiplication factor of 7 for block copolymers of 14 nm half-pitch, which is attributed to the combined effect of topographical and chemical modification.

SELECTION OF CITATIONS
SEARCH DETAIL
...