Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 2 de 2
Filter
Add more filters










Database
Language
Publication year range
1.
ACS Appl Mater Interfaces ; 10(51): 44825-44833, 2018 Dec 26.
Article in English | MEDLINE | ID: mdl-30485061

ABSTRACT

Correlations between physical properties linking film quality with wet etch rate (WER), one of the leading figures of merit, in plasma-enhanced atomic layer deposition (PEALD) grown silicon nitride (SiN x) films remain largely unresearched. Achieving a low WER of a SiN x film is especially significant in its use as an etch stopper for technology beyond 7 nm node semiconductor processing. Herein, we explore the correlation between the hydrogen concentration, hydrogen bonding states, bulk film density, residual impurity concentration, and the WERs of PEALD SiN x using Fourier transform infrared spectrometry, X-ray reflectivity, and spectroscopic ellipsometry, etc. PEALD SiN x films for this study were deposited using hexachlorodisilane and hollow cathode plasma source under a range of process temperatures (270-360 °C) and plasma gas compositions (N2/NH3 or Ar/NH3) to understand the influence of hydrogen concentration, hydrogen bonding states, bulk film density, and residual impurity concentration on the WER. Varying hydrogen concentration and differences in the hydrogen bonding states resulted in different bulk film densities and, accordingly, a variation in WER. We observe a linear relationship between hydrogen bonding concentration and WER as well as a reciprocal relationship between bulk film density and WER. Analogous to the PECVD SiN x processes, a reduction in hydrogen bonding concentration arises from either (1) thermal activation or (2) plasma excited species. However, unlike the case with silane (SiH4)-based PECVD SiN x, PEALD SiN x WERs are affected by residual impurities of Si precursors (i.e., chlorine impurity). Thus, possible wet etching mechanisms in HF in which the WER is affected by hydrogen bonding states or residual impurities are proposed. The shifts of amine basicity in SiN x due to different hydrogen bonding states and the changes in Si electrophilicity due to Cl impurity content are suggested as the main mechanisms that influence WER in the PEALD processes.

2.
ACS Appl Mater Interfaces ; 10(16): 14116-14123, 2018 Apr 25.
Article in English | MEDLINE | ID: mdl-29551067

ABSTRACT

In this work, a novel chlorodisilane precursor, pentachlorodisilane (PCDS, HSi2Cl5), was investigated for the growth of silicon nitride (SiN x) via hollow cathode plasma-enhanced atomic layer deposition (PEALD). A well-defined self-limiting growth behavior was successfully demonstrated over the growth temperature range of 270-360 °C. At identical process conditions, PCDS not only demonstrated approximately >20% higher growth per cycle than that of a commercially available chlorodisilane precursor, hexachlorodisilane (Si2Cl6), but also delivered a better or at least comparable film quality determined by characterizing the refractive index, wet etch rate, and density of the films. The composition of the SiN x films grown at 360 °C using PCDS, as determined by X-ray photoelectron spectroscopy, showed low O content (∼2 at. %) and Cl content (<1 at. %; below the detection limit). Fourier transform infrared spectroscopy spectra suggested that N-H bonds were the dominant hydrogen-containing bonds in the SiN x films without a significant amount of Si-H bonds originating from the precursor molecules. The possible surface reaction pathways of the PEALD SiN x using PCDS on the surface terminated with amine groups (-NH2 and -NH-) are proposed. The PEALD SiN x films grown using PCDS also exhibited a leakage current density as low as 1-2 nA/cm2 at 2 MV/cm and a breakdown electric field as high as ∼12 MV/cm.

SELECTION OF CITATIONS
SEARCH DETAIL
...