Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 5 de 5
Filter
Add more filters










Database
Language
Publication year range
1.
Sci Rep ; 6: 19574, 2016 Jan 21.
Article in English | MEDLINE | ID: mdl-26791399

ABSTRACT

On-demand release of bioactive substances with high spatial and temporal control offers ground-breaking possibilities in the field of life sciences. However, available strategies for developing such release systems lack the possibility of combining efficient control over release with adequate storage capability in a reasonably compact system. In this study we present a new approach to target this deficiency by the introduction of a hybrid material. This organic-inorganic material was fabricated by atomic layer deposition of ZnO into thin films of polyethylene glycol, forming the carrier matrix for the substance to be released. Sub-surface growth mechanisms during this process converted the liquid polymer into a solid, yet water-soluble, phase. This layer permits extended storage for various substances within a single film of only a few micrometers in thickness, and hence demands minimal space and complexity. Improved control over release of the model substance Fluorescein was achieved by coating the hybrid material with a conducting polymer film. Single dosage and repetitive dispensing from this system was demonstrated. Release was controlled by applying a bias potential of ± 0.5 V to the polymer film enabling or respectively suppressing the expulsion of the model drug. In vitro tests showed excellent biocompatibility of the presented system.

2.
ACS Appl Mater Interfaces ; 6(3): 1576-82, 2014 Feb 12.
Article in English | MEDLINE | ID: mdl-24392784

ABSTRACT

Catalytically synthesized methanol from H2 and CO2 using porous Cu/ZnO aggregates is a promising, carbon neutral, and renewable alternative to replace fossil fuel based transport fuels. However, the absence of surface-engineered model systems to understand and improve the industrial Cu/ZnO catalyst poses a big technological gap in efforts to increase industrial methanol conversion efficiency. In this work, we report a novel process for the fabrication of patterned, vertically aligned high aspect ratio 1D nanostructures on Si that can be used as an engineered model catalyst. The proposed strategy employs near-field phase shift lithography (NF-PSL), deep reactive ion etching (DRIE), and atomic layer deposition (ALD) to pattern, etch, and coat Si wafers to produce high aspect ratio 1D nanostructures. Using this method, we produced a model system consisting of high aspect ratio Cu-decorated ZnO nanotubes (NTs) to investigate the morphological effects of ZnO catalyst support in comparison to the planar Cu/ZnO catalyst in terms of the catalytic reactions. The engineered catalysts performed 70 times better in activating CO2 than the industrial catalyst. In light of the obtained results, several important points are highlighted, and recommendations are made to achieve higher catalytic performance.

3.
ACS Nano ; 7(2): 1583-90, 2013 Feb 26.
Article in English | MEDLINE | ID: mdl-23368781

ABSTRACT

Three-dimensional (3D) visualization of complex embedded nanopore networks in silicon requires expensive machinery and tedious sample preparation procedures such as electron tomography, also known as 3D transmission electron microscopy. In this article, we report a new, fast, powerful, and low-cost three-dimensional imaging technique with sub-5 nm resolution. This new imaging method is applied to metal-assisted chemically etched monocrystalline Si to demonstrate its capabilities. The AFEI (atomic layer deposition-fill-etch-imaging) technique consists of three simple process steps that are available in most material research settings. First the porous substrate is conformally coated with an atomic layer deposition (ALD) metal oxide layer. ALD is able to penetrate deep into complex, high aspect ratio pores, as it is a sequential gas-phase deposition process. Next, the cross-section of the ALD-filled porous Si substrate is etched with high-density fluorine-based plasma processing, which yields very high selectivity toward Si (e.g., >400:1 for Si:ZnO). This step removes the bulk Si and exposes the metal oxide structures grown inside the pores. In the last step, the sample cross-section is examined using a standard scanning electron microscope at various angles, which allows precise imaging of hidden features and reconstruction of a 3D model of the embedded pore network.

4.
ACS Nano ; 7(3): 2820-8, 2013 Mar 26.
Article in English | MEDLINE | ID: mdl-23441989

ABSTRACT

A facile high-yield production of cuprous iodide (CuI) superstructures is reported by antisolvent crystallization using acetonitrile/water as a solvent/antisolvent couple under ambient conditions. In the presence of trace water, the metastable water droplets act as templates to induce the precipitation of hollow spherical CuI superstructures consisting of orderly aligned building blocks after drop coating. With water in excess in the mixed solution, an instant precipitation of CuI random aggregates takes place due to rapid crystal growth via ion-by-ion attachment induced by a strong antisolvent effect. However, this uncontrolled process can be modified by adding polymer polyvinyl pyrrolidone (PVP) in water to restrict the size of initially formed CuI crystal nuclei through the effective coordination effect of PVP. As a result, CuI superstructures with a cuboid geometry are constructed by gradual self-assembly of the small CuI crystals via oriented attachment. The precipitated CuI superstructures have been used as competent adsorbents to remove organic dyes from the water due to their mesocrystal feature. Besides, the CuI superstructures have been applied either as a self-sacrificial template or only as a structuring template for the flexible design of other porous materials such as CuO and TiO2. This system provides an ideal platform to simultaneously investigate the superstructure formation enforced by antisolvent crystallization with and without organic additives.

5.
ACS Nano ; 6(8): 7133-41, 2012 Aug 28.
Article in English | MEDLINE | ID: mdl-22849328

ABSTRACT

The development of hydrothermal synthesis has greatly promoted bottom-up nanoscience for the rational growth of diverse zinc oxide (ZnO) nanostructures. In comparison with normal ZnO nanowires, ZnO nanostructures with a larger surface area, for instance, branched nanowires, are more attractive in the application fields of catalysis, sensing, dye-sensitized solar cells etc. So far the ZnO branched nanowires achieved by either one-step or multistep growth always present a boundary-governed nonepitaxial branch/stem interface. In this report, seeded growth of single-crystalline ZnO hexabranched nanostructures was achieved by selecting polyethylene glycol (PEG) as capping agent based on a low-temperature, laterally epitaxial solution growth strategy. We investigated the generality of this PEG-assisted growth process using different ZnO seed layers including continuous film, patterned dots, and vertically aligned nanowire arrays. It was revealed that PEG is a distinctive c-direction inhibitor responsible for the lateral growth and subsequent branching of ZnO due to its nonionic and nonacidic feature and weak reactivity in the solution system. All the obtained branched nanostructures are of single crystallinity in nature, which is methodologically determined by the homoepitaxial growth mode. This PEG-assisted process is versatile for diameter tuning and branch formation of ZnO nanowires by secondary growth. Our proof-of-concept experiments demonstrated that the ZnO hexabranched nanostructures presented superior photocatalytic efficiency for dye degradation relative to the normal ZnO nanowires.


Subject(s)
Crystallization/methods , Nanostructures/chemistry , Nanostructures/ultrastructure , Titanium/chemistry , Zinc Oxide/chemistry , Macromolecular Substances/chemistry , Materials Testing , Molecular Conformation , Surface Properties
SELECTION OF CITATIONS
SEARCH DETAIL
...