Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 15 de 15
Filter
Add more filters










Publication year range
1.
Nanotechnology ; 31(26): 265302, 2020 Apr 09.
Article in English | MEDLINE | ID: mdl-32131063

ABSTRACT

The etch characteristics of Si and TiO2 nanostructures for optical devices were investigated using pulse biased inductively coupled plasmas (ICP) with SF6/C4F8/Ar and BCl3/Ar, respectively, and the results were compared with those etched using continuous wave (CW) biased ICP. By using pulse biasing compared to CW biasing in the etching of the line/pillar nanostructures with various aspect ratios, there was a reduction of the aspect ratio dependent etching (ARDE) and therefore, uniform etch depths for nanostructures with different pattern widths, as well as the improvement of the etch profiles without any notching, were obtained not only for silicon nanostructures but also for TiO2 nanostructures. The investigation has determined that the improvement of etch profiles and reduced ARDE effect when using pulse biasing are related to the decreased surface charging caused by neutralization of the surface and the improved radical adsorption (or etch byproduct removal) on the etched surfaces during the pulse-off period for pulse biasing compared to CW biasing.

2.
Acta Ophthalmol ; 96(3): e386-e392, 2018 May.
Article in English | MEDLINE | ID: mdl-28975766

ABSTRACT

OBJECTIVE: To analyse the longitudinal changes in the thickness of the central macula and retinal nerve fibre layer (RNFL) in patients with hypertensive retinopathy (HTNR) using spectral-domain optical coherence tomography (SD-OCT). METHODS: This was a prospective cohort study. We studied 18 eyes of patients with HTNR of grade IV who had been followed up for more than 1 year, and 36 normal eyes (without any relevant medical history; the control group). Antihypertensive treatment successfully normalized the blood pressure of HTNR patients. The RNFL thickness and that of the central macula of HTNR patients were measured using a Cirrus HD-OCT instrument, and compared with those of the control group. RESULTS: At 12 months of follow-up, the mean thickness of the RNFL and central macula was significantly lower in the HTNR group than in the control group (the RNFL was measured first, and then the central macular thickness (CMT): 77.4 ± 9.1 and 233.8 ± 30.8 µm versus 94.1 ± 7.8 and 256.3 ± 28.1 µm, respectively; p < 0.05). With time, the RNFL thickness and CMT became significantly less than that at the initial diagnosis (p < 0.05). CONCLUSION: Severe HTNR causes a decrease in the thickness of the RNFL and central macula over time. Therefore, the impact of retinal changes associated with systemic diseases such as hypertension should be considered in analysing the thicknesses of the RNFL and central macula in ocular disorders, including retina, glaucoma and neuro-ophthalmologic diseases.


Subject(s)
Hypertension/complications , Macula Lutea/pathology , Nerve Fibers/pathology , Retinal Diseases/diagnosis , Retinal Ganglion Cells/pathology , Tomography, Optical Coherence/methods , Disease Progression , Female , Follow-Up Studies , Humans , Hypertension/physiopathology , Male , Middle Aged , Prospective Studies , Retinal Diseases/etiology , Severity of Illness Index , Time Factors
3.
Nanotechnology ; 26(35): 355706, 2015 Sep 04.
Article in English | MEDLINE | ID: mdl-26267409

ABSTRACT

A few-layered molybdenum disulfide (MoS2) thin film grown by plasma enhanced chemical vapor deposition was etched using a CF4 inductively coupled plasma, and the possibility of controlling the MoS2 layer thickness to a monolayer of MoS2 over a large area substrate was investigated. In addition, damage and contamination of the remaining MoS2 layer surface after etching and a possible method for film recovery was also investigated. The results from Raman spectroscopy and atomic force microscopy showed that one monolayer of MoS2 was etched by exposure to a CF4 plasma for 20 s after an initial incubation time of 20 s, i.e., the number of MoS2 layers could be controlled by exposure to the CF4 plasma for a certain processing time. However, XPS data showed that exposure to CF4 plasma induced a certain amount of damage and contamination by fluorine of the remaining MoS2 surface. After exposure to a H2S plasma for more than 10 min, the damage and fluorine contamination of the etched MoS2 surface could be effectively removed.

4.
J Nanosci Nanotechnol ; 15(10): 8093-8, 2015 Oct.
Article in English | MEDLINE | ID: mdl-26726468

ABSTRACT

Some of the important issues of block copolymer (BCP) as an application to the potential low cost next generation lithography are thermal stability and deformation during pattern transfer process in addition to defect density, line edge/width roughness, etc. In this study, sulfur containing plasma treatment was used to modify the BCP and the effects of the plasma on the properties of plasma treated BCP were investigated. The polystyrene hole pattern obtained from polystyrene polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) was initially degraded when the polystyrene hole was annealed at 190 °C for 15 min. However, when the hole pattern was treated using sulfur containing plasmas using H2S or SF6 up to 2 min, possibly due to the sulfurization of the polystyrene hole surface, no change in the hole pattern was observed after the annealing even though there is a slight change in hole shapes during the plasma treatment. The optimized plasma treated polystyrene pattern showed the superior characteristics as the mask layer by showing better thermal stability, higher chemical inertness, and higher etch selectivity during plasma etching.


Subject(s)
Hydrogen Sulfide/chemistry , Methacrylates/chemistry , Plasma Gases/chemistry , Polystyrenes/chemistry , Sulfur/chemistry , Surface Properties
5.
J Nanosci Nanotechnol ; 15(11): 8577-83, 2015 Nov.
Article in English | MEDLINE | ID: mdl-26726555

ABSTRACT

The amorphous carbon layer (ACL), used as the hardmask for the etching of nanoscale semi-conductor materials, was etched using O2/CHF3 in addition to O2/N2 using pulsed dual-frequency capacitively coupled plasmas, and the effects of source power pulsing for different gas combinations on the characteristics of the plasmas and ACL etching were investigated. As the etch mask for ACL, a patterned SiON layer was used. The etch rates of ACL were decreased with the decrease of pulse duty percentage for both O2/N2 and O2/CHF3 due to decrease of the reactive radicals, such as F and O, with decreasing pulse duty percentage. In addition, at the same pulse duty percentage, the etch selectivity of ACL/SiON with O2/CHF3 was also significantly lower than that with O2/N2. However, the etch profiles of ACL with O2/CHF3 was more anisotropic and the etch profiles were further improved with decreasing the pulse duty percentage than those of ACL with O2/N2. The improved anisotropic etch profiles of ACL with decreasing pulse duty percentage for O2/CHF3 were believed to be related to the formation of a more effective passivation layer, such as a thick fluorocarbon layer, on the sidewall of the ACL during the etching with O2/CHF3, compared to the weak C-N passivation layer formed on the sidewall of ACL when using O2/N2.

6.
J Nanosci Nanotechnol ; 15(11): 8647-51, 2015 Nov.
Article in English | MEDLINE | ID: mdl-26726568

ABSTRACT

Ag nanowire (NW) films obtained by the spraying the Ag NWs on the substrates were nano-welded by rapid thermal annealing (RTA) process and the effect of RTA process on the change of sheet resistance and optical transmittance of the Ag NW films was investigated. The increased number of Ag NW sprays on the substrate decreased the sheet resistance but also decreased the optical transmittance. By the annealing for 60 sec in a nitrogen environment to 225-250 degrees C, the sheet resistance of Ag NW film could be decreased to about 50%, even though it was accompanied by the slight decrease of optical transmittance less than 5%. The decrease of sheet resistance was related to the nano-welding of the Ag NW junctions and the slight decrease of optical transmittance was related local melting of the Ag NWs and spreading on the substrate surface. Through the nano-welding by RTA process, the Ag NW film with the sheet resistance of -20 Ω/sq. and the optical transmittance of 93% could be obtained.

7.
J Nanosci Nanotechnol ; 15(11): 8674-8, 2015 Nov.
Article in English | MEDLINE | ID: mdl-26726573

ABSTRACT

U-shaped inductively coupled plasma (ICP) source was investigated as a linear plasma source for the next generation roll-to-toll flexible display processing. For the radio frequency power to the source, the dual frequency composed of 13.56 MHz and 2 MHz was used and the effect of dual frequency to the U-shaped ICP source on the plasma density, electron temperature, and plasma uniformity was investigated. As the operating condition, 200 mTorr Ar was used without operating turbo pumps. The use of superimposed dual frequency composed of 13.56 MHz + 2 MHz instead the single frequency of 13.56 MHz increased the plasma density slightly at the same total power. In addition, the addition of 2 MHz rf power to 0.4 kW while maintaining 1 kW 13.56 MHz rf power not only decreased electron temperature but also improved both the plasma uniformity and the process uniformity measured by photoresist etching. Therefore, by using the dual frequency to the U-shaped ICP source, not only the plasma density but also plasma uniformity could be improved in addition to the decrease of possible damage to the substrate.

8.
Nanoscale ; 6(24): 15301-8, 2014 Dec 21.
Article in English | MEDLINE | ID: mdl-25385489

ABSTRACT

We propose a novel doping method of graphene using the cyclic trap-doping method with low energy chlorine adsorption. Low energy chlorine adsorption for graphene chlorination avoided defect (D-band) formation during the doping by maintaining the π-bonding of the graphene, which affects conductivity. In addition, by trapping chlorine dopants between the graphene layers, the sheet resistance could be decreased by ∼ 88% under optimized conditions. Among the reported doping methods, including chemical, plasma, and photochemical methods, the proposed doping method is believed to be the most promising for producing graphene with extremely high transmittance, low sheet resistance, high thermal stability, and high flexibility for use in various flexible electronic devices. The results of Raman spectroscopy and sheet resistance showed that this method is also non-destructive and controllable. The sheet resistance of the doped tri-layer graphene was 70 Ω per sq. at transmittance of 94%, and which was maintained for more than 100 h in a vacuum at 230 °C. Moreover, the defect intensity of graphene was not increased during the cyclic trap-doping.

9.
J Nanosci Nanotechnol ; 14(2): 1120-33, 2014 Feb.
Article in English | MEDLINE | ID: mdl-24749416

ABSTRACT

Graphene has recently been studied as a promising material to replace and enhance conventional electronic materials in various fields such as electronics, photovoltaics, sensors, etc. However, for the electronic applications of graphene prepared by various techniques such as chemical vapor deposition, chemical exfoliation, mechanical exfoliation, etc., critical limitations are found due to the defects in the graphene in addition to the absence of a semiconducting band gap. For that, many researchers have investigated the doped graphene which is effective to tailor its electronic property and chemical reactivity. This work presents a review of the various graphene doping methods and their device applications. As doping methods, direct synthesis method and post treatment method could be categorized. Because the latter case has been widely investigated and used in various electronic applications, we will focus on the post treatment method. Post treatment method could be further classified into wet and dry doping methods. In the case of wet doping, acid treatment, metal chloride, and organic material coating are the methods used to functionalize graphene by using dip-coating, spin coating, etc. Electron charge transfer achieved from graphene to dopants or from dopants to graphene makes p-type or n-type graphenes, respectively, with sheet resistance reduction effect. In the case of dry doping, it can be further categorized into electrostatic field method, evaporation method, thermal treatment method, plasma treatment method, etc. These doping techniques modify Fermi energy level of graphene and functionalize the property of graphene. Finally, some perspectives and device applications of doped graphene are also briefly discussed.


Subject(s)
Conductometry/instrumentation , Electric Power Supplies , Electrodes , Graphite/chemistry , Nanostructures/chemistry , Nanotechnology/instrumentation , Transistors, Electronic , Electric Capacitance , Equipment Design , Equipment Failure Analysis , Nanostructures/ultrastructure , Particle Size
10.
J Nanosci Nanotechnol ; 14(12): 9411-7, 2014 Dec.
Article in English | MEDLINE | ID: mdl-25971075

ABSTRACT

The degradation of a low-k material surface during the exposure to plasma etching is one of the most serious problems to be solved for the realization of high speed semiconductor devices. In this study, the factors causing the degradation of a low-k material surface during the etching using fluorine-based plasma etching have been investigated by using XPS. As the plasma factors, active radicals, bombardment energy, and charge of the ions were considered and, as the low-k material, methyl silsesquioxane (MSQ) has been used. The XPS results showed that the ion bombardment during the plasma etching of MSQ affects the breaking of MSQ bone structure by changing the Si-O bonds and Si-C bonds to Si-F mostly, while fluorine-based radicals in the plasma mostly affect the change of Si-CH3 bonds to Si-CH(x)F(y). By removing the charge of the ions during the bombardment, the MSQ properties were further improved. When F intensity which is related to the damage of the MSQ surface is estimated, the bombardment energy, reactive radical density, and charge of the ions were responsible for -18%, -53%, -19% of the F intensity in the MSQ. Therefore, by using the neutral beam etching instead of a conventional ICP etching, the degradation on the MSQ surface estimated by the F intensity remaining on the MSQ surface could be decreased to 10%.

11.
J Nanosci Nanotechnol ; 14(12): 9614-8, 2014 Dec.
Article in English | MEDLINE | ID: mdl-25971107

ABSTRACT

RF pulsed plasma characteristics of inductively coupled plasma (ICP) sources operated with internal linear type antennas for the next generation display processing were investigated. By applying the rf pulse mode in the ICP source, with decreasing the rf pulse duty percentage, the average electron temperature was decreased and the plasma non-uniformity was improved with decreasing the rf pulse duty percentage. In the case of plasma uniformity, for the same time average rf power of 3 kW to the ICP source, the plasma non-uniformity was improved from 8.4% at 100% of rf duty percentage to 6.4% at 60% of rf duty percentage due to the increased diffusion of the plasma during the pulse-off time. When SiO2 was etched using CF4, the etch rate uniformity was also improved due to the improvement of plasma uniformity.

12.
J Nanosci Nanotechnol ; 13(12): 8064-9, 2013 Dec.
Article in English | MEDLINE | ID: mdl-24266192

ABSTRACT

The effect of the dual-etch surface roughening method consisting of dry etching and wet etching on the enhancement of light extraction of vertical light emitting diodes (VLEDs) is investigated. The surface of a VLED was roughened by dry etching using SiO2 spheres as the mask while a KOH solution was used for wet etching. After the surface of the VLED was roughened by the dual-etch method, the luminous efficiency of the VLED increased due to the formation of uniform, nano-scale cone shapes and the decreased flat area ratio of the total GaN surface. The VLED roughened by dual etching showed about 9.3% higher emitted luminous efficiency than the VLED roughened using wet etching.

13.
J Nanosci Nanotechnol ; 13(12): 8090-4, 2013 Dec.
Article in English | MEDLINE | ID: mdl-24266197

ABSTRACT

We investigated the effects of plasma treatment on the sheet resistance of thin films spray-coated with graphene flakes on polyethylene terephthalate (PET) substrates. Thin films coated with graphene flakes show high sheet resistance due to defects within graphene edges, domains, and residual oxygen content. Cl2 plasma treatment led to decreased sheet resistance when treatment time was increased, but when thin films were treated for too long the sheet resistance increased again. Optimum treatment time was related to film thickness. The reduction of sheet resistance may be explained by the donation of holes due to forming pi-type covalent bonds of Cl with carbon atoms on graphene surfaces, or by C--Cl bonding at the sites of graphene defects. However, due to radiation damage caused by plasma treatment, sheet resistance increased with increased treatment time. We found that the sheet resistance of PET film coated with graphene flakes could be decreased by 50% under optimum conditions.

14.
J Nanosci Nanotechnol ; 13(12): 8106-9, 2013 Dec.
Article in English | MEDLINE | ID: mdl-24266200

ABSTRACT

The properties of Pd/Ir/Au ohmic metallization on p-type GaN have been investigated. Contacts annealed at 400 degrees C in O2 atmosphere demonstrated excellent ohmic characteristics with a specific contact resistivity of 1.5 x 10(-5) Omega-cm2. This is attributed to the formation of Ga vacancies at the contact metal-semiconductor interfacial region due to the out-diffusion of Ga atoms. The out-diffusion of Ga atoms was confirmed by X-ray photoelectron spectroscopy depth profiles, high-resolution transmission electron microscopy, and electron energy loss spectroscopy using a scanning transmission electron microscope.

15.
J Nanosci Nanotechnol ; 9(12): 7440-5, 2009 Dec.
Article in English | MEDLINE | ID: mdl-19908805

ABSTRACT

An internal linear-type inductively coupled plasma (ICP) source with multi-polar permanent magnets was used to deposit nanocrystalline silicon thin films on a large-area substrate (470 mm x 370 mm), and the effects of a magnetic field on the characteristics of the plasma and deposited film were investigated. By applying the magnetic field, it was possible to obtain a high-density plasma of 2.8 x 10(11) cm(-3) at 15 mTorr Ar and 4000 W of RF power, which is about 50% higher than was obtained for the source without the magnetic field. The application of the multi-polar magnet field to the ICP source during the deposition of silicon film using SiH4/H2 also increased the deposition rates of the silicon thin films and the ratio Halpha*/SiH*, which transformed the structure of the silicon films deposited on the glass substrates from amorphous to nanocrystalline. Furthermore, the use of the magnetic field increased crystalline volume fraction and dark conductivity while decreasing the absorption coefficient. The improved characteristics were related to the increase in the ionization rate and the dissociation rate of SiH4/H2, which confined the plasma to the chamber volume and avoided losses to the chamber wall. The decrease in the absorption coefficient of the nanocrystalline silicon film deposited with a higher H2 percentage and with the magnetic field present is also related to the increase in the crystallization volume fraction. At 70% H2 with the magnetic field present, the nanocrystalline silicon thin films had a high crystalline volume fraction (68%), a dark conductivity of 3.4E-7 omega(-1) cm(-1), a deposition rate of 10 angstroms/s, and grain sizes of approximately 15 nm.

SELECTION OF CITATIONS
SEARCH DETAIL
...