Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 7 de 7
Filter
Add more filters










Database
Language
Publication year range
1.
Adv Mater ; 35(25): e2211478, 2023 Jun.
Article in English | MEDLINE | ID: mdl-36934320

ABSTRACT

Micropatterning crystalline materials with oriented pores is necessary for the fabrication of devices with anisotropic properties. Crystalline and porous metal-organic frameworks (MOFs) are ideal materials as their chemical and structural mutability enables precise tuning of functional properties for applications ranging from microelectronics to photonics. Herein, a patternable oriented MOF film is designed: by using a photomask under X-ray exposure, the MOF film decomposes in the irradiated areas, remaining intact in the unexposed regions. The MOF film acts simultaneously as a resist and as functional porous material. While the heteroepitaxial growth from aligned Cu(OH)2 nanobelts is used to deposit oriented MOF films, the sensitivity to radiation is achieved by integrating a brominated dicarboxylate ligand (Br2 BDC) into a copper-based MOF Cu2 L2 DABCO (DABCO = 1,4-diazabicyclo[2.2.2]octane; L = BDC/Br2 BDC). The lithographed samples act as diffraction gratings upon irradiation with a laser, thus confirming the quality of the extended MOF micropattern. Furthermore, the oriented MOF patterns are functionalized with fluorescent dyes. As a result, by rotating the polarization angle of the laser excitation, the alignment of the dye in the MOF is demonstrated. By controlling the functional response to light, this MOF patterning protocol can be used for the microfabrication of optical components for photonic devices.

2.
ACS Appl Nano Mater ; 6(2): 827-831, 2023 Jan 27.
Article in English | MEDLINE | ID: mdl-36743856

ABSTRACT

Atomic/molecular layer deposition (ALD/MLD) allows for the direct gas-phase synthesis of crystalline metal-organic framework (MOF) thin films. Here, we show for the first time using krypton and methanol physisorption measurements that ALD/MLD-fabricated copper 1,4-benzenedicarboxylate (Cu-BDC) ultrathin films possess accessible porosity matching that of the corresponding bulk MOF.

3.
J Am Chem Soc ; 145(1): 152-159, 2023 Jan 11.
Article in English | MEDLINE | ID: mdl-36534059

ABSTRACT

Crystalline coordination polymers with high electrical conductivities and charge carrier mobilities might open new opportunities for electronic devices. However, current solvent-based synthesis methods hinder compatibility with microfabrication standards. Here, we describe a solvent-free chemical vapor deposition method to prepare high-quality films of the two-dimensional conjugated coordination polymer Cu-BHT (BHT = benzenehexanothiolate). This approach involves the conversion of a metal oxide precursor into Cu-BHT nanofilms with a controllable thickness (20-85 nm) and low roughness (<10 nm) through exposure to the vaporized organic linker. Moreover, the restricted metal ion mobility during the vapor-solid reaction enables high-resolution patterning via both bottom-up lithography, including the fabrication of micron-sized Hall bar and electrode patterns to accurately evaluate the conductivity and mobility values of the Cu-BHT films.

4.
Inorg Chem ; 61(45): 17927-17931, 2022 Nov 14.
Article in English | MEDLINE | ID: mdl-36326803

ABSTRACT

A vapor-assisted synthesis method was developed for the metal-organic framework (MOF) HKUST-1 in both powder and film format. The use of a solvent template supplied from the vapor phase is essential to form the framework under these conditions. Chemical vapor deposition of HKUST-1 films (MOF-CVD) results in smooth films that show the expected adsorption behavior. Interestingly, the HKUST-1 films obtained this way show a (111) preferred crystallographic orientation.

5.
Dalton Trans ; 50(20): 6784-6788, 2021 May 25.
Article in English | MEDLINE | ID: mdl-33969844

ABSTRACT

Chemical vapor deposition of metal-organic frameworks (MOF-CVD) will facilitate the integration of porous and crystalline coatings in electronic devices. In the two-step MOF-CVD process, a precursor layer is first deposited and subsequently converted to a MOF through exposure to linker vapor. We herein report the impact of different metal oxide and metalcone layers as precursors for zeolitic imidazolate framework ZIF-8 films.

6.
Angew Chem Int Ed Engl ; 60(14): 7553-7558, 2021 Mar 29.
Article in English | MEDLINE | ID: mdl-33350565

ABSTRACT

The landscape of possible polymorphs for some metal-organic frameworks (MOFs) can pose a challenge for controlling the outcome of their syntheses. Demonstrated here is the use of a template to control in the vapor-assisted formation of zeolitic imidazolate framework (ZIF) powders and thin films. Introducing a small amount of either ethanol or dimethylformamide vapor during the reaction between ZnO and 4,5-dichloroimidazole vapor results in the formation of the porous ZIF-71 phase, whereas other conditions lead to the formation of the dense ZIF-72 phase or amorphous materials. Time-resolved in situ small-angle X-ray scattering reveals that the porous phase is metastable and can be transformed into its dense polymorph. This transformation is avoided through the introduction of template vapor. The porosity of the resulting ZIF powders and films was studied by N2 and Kr physisorption, as well as positron annihilation lifetime spectroscopy. The templating principle was demonstrated for other members of the ZIF family as well, including the ZIF-7 series, ZIF-8_Cl, and ZIF-8_Br.

7.
Nat Mater ; 20(1): 93-99, 2021 Jan.
Article in English | MEDLINE | ID: mdl-33106648

ABSTRACT

Metal-organic frameworks (MOFs) offer disruptive potential in micro- and optoelectronics because of the unique properties of these microporous materials. Nanoscale patterning is a fundamental step in the implementation of MOFs in miniaturized solid-state devices. Conventional MOF patterning methods suffer from low resolution and poorly defined pattern edges. Here, we demonstrate the resist-free, direct X-ray and electron-beam lithography of MOFs. This process avoids etching damage and contamination and leaves the porosity and crystallinity of the patterned MOFs intact. The resulting high-quality patterns have excellent sub-50-nm resolution, and approach the mesopore regime. The compatibility of X-ray and electron-beam lithography with existing micro- and nanofabrication processes will facilitate the integration of MOFs in miniaturized devices.

SELECTION OF CITATIONS
SEARCH DETAIL
...