Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 5 de 5
Filter
Add more filters










Database
Language
Publication year range
1.
Langmuir ; 39(12): 4265-4273, 2023 Mar 28.
Article in English | MEDLINE | ID: mdl-36921108

ABSTRACT

Area-selective atomic layer deposition using small-molecule inhibitors (SMIs) involves vapor-phase dosing of inhibitor molecules, resulting in an industry-compatible approach. However, the identification of suitable SMIs that yield a high selectivity remains a challenging task. Recently, aniline (C6H5NH2) was shown to be an effective SMI during the area-selective deposition (ASD) of TiN, giving 6 nm of selective growth on SiO2 in the presence of Ru and Co non-growth areas. In this work, using density functional theory (DFT) and random sequential adsorption (RSA) simulations, we investigated how aniline can effectively block precursor adsorption on specific areas. Our DFT calculations confirmed that aniline selectively adsorbs on Ru and Co non-growth areas, whereas its adsorption on the SiO2 growth area is limited to physisorption. DFT reveals two stable adsorption configurations of aniline on the metal surfaces. Further calculations on the aniline-functionalized surfaces show that the aniline inhibitor significantly reduces the interaction of Ti precursor, tetrakis(dimethylamino)titanium, with the non-growth area. In addition, RSA simulations showed that the co-presence of two stable adsorption configurations allows for a high surface inhibitor coverage on both Co and Ru surfaces. As the surface saturates, there is a transition from the thermodynamically most favorable adsorption configuration to the sterically most favorable adsorption configuration, which results in a sufficiently dense inhibition layer, such that an incoming precursor molecule cannot fit in between the adsorbed precursor molecules. We also found that, as a result of the catalytic activity of the metallic non-growth area, further reactions of inhibitor molecules, such as hydrogenolysis, can play a role in precursor blocking.

2.
J Phys Chem C Nanomater Interfaces ; 126(5): 2463-2474, 2022 Feb 10.
Article in English | MEDLINE | ID: mdl-35178137

ABSTRACT

A detailed understanding of the growth of noble metals by atomic layer deposition (ALD) is key for various applications of these materials in catalysis and nanoelectronics. The Pt ALD process using MeCpPtMe3 and O2 gas as reactants serves as a model system for the ALD processes of noble metals in general. The surface chemistry of this process was studied by in situ vibrational broadband sum-frequency generation (BB-SFG) spectroscopy, and the results are placed in the context of a literature overview of the reaction mechanism. The BB-SFG experiments provided direct evidence for the presence of CH3 groups on the Pt surface after precursor chemisorption at 250 °C. Strong evidence was found for the presence of a C=C containing complex (e.g., the form of Cp species) and for partial dehydrogenation of the surface species during the precursor half-cycle. The reaction kinetics of the precursor half-cycle were followed at 250 °C, showing that the C=C coverage saturated before the saturation of CH3. This complex behavior points to the competition of multiple surface reactions, also reflected in the temperature dependence of the reaction mechanism. The CH3 saturation coverage decreased significantly with temperature, while the C=C coverage remained constant after precursor chemisorption on the Pt surface for temperatures from 80 to 300 °C. These SFG results have resulted in a better understanding of the Pt ALD process and also highlight the surface chemistry during thin-film growth as a promising field of study for the BB-SFG community.

3.
ACS Appl Mater Interfaces ; 10(44): 38588-38595, 2018 Nov 07.
Article in English | MEDLINE | ID: mdl-30286289

ABSTRACT

Atomic layer etching (ALE) provides Ångström-level control over material removal and holds potential for addressing the challenges in nanomanufacturing faced by conventional etching techniques. Recent research has led to the development of two main classes of ALE: ion-driven plasma processes yielding anisotropic (or directional) etch profiles and thermally driven processes for isotropic material removal. In this work, we extend the possibilities to obtain isotropic etching by introducing a plasma-based ALE process for ZnO which is radical-driven and utilizes acetylacetone (Hacac) and O2 plasma as reactants. In situ spectroscopic ellipsometry measurements indicate self-limiting half-reactions with etch rates ranging from 0.5 to 1.3 Å/cycle at temperatures between 100 and 250 °C. The ALE process was demonstrated on planar and three-dimensional substrates consisting of a regular array of semiconductor nanowires (NWs) conformally covered using atomic layer deposition of ZnO. Transmission electron microscopy studies conducted on the ZnO-covered NWs before and after ALE proved the isotropic nature and the damage-free characteristics of the process. In situ infrared spectroscopy measurements were used to elucidate the self-limiting nature of the ALE half-reactions and the reaction mechanism. During the Hacac etching reaction that is assumed to produce Zn(acac)2, carbonaceous species adsorbed on the ZnO surface are suggested as the cause of the self-limiting behavior. The subsequent O2 plasma step resets the surface for the next ALE cycle. High etch selectivities (∼80:1) over SiO2 and HfO2 were demonstrated. Preliminary results indicate that the etching process can be extended to other oxides such as Al2O3.

4.
Nanoscale ; 6(19): 10941-60, 2014 Oct 07.
Article in English | MEDLINE | ID: mdl-25156884

ABSTRACT

Atomic layer deposition (ALD) is a method that allows for the deposition of thin films with atomic level control of the thickness and an excellent conformality on 3-dimensional surfaces. In recent years, ALD has been implemented in many applications in microelectronics, for which often a patterned film instead of full area coverage is required. This article reviews several approaches for the patterning of ALD-grown films. In addition to conventional methods relying on etching, there has been much interest in nanopatterning by area-selective ALD. Area-selective approaches can eliminate compatibility issues associated with the use of etchants, lift-off chemicals, or resist films. Moreover, the use of ALD as an enabling technology in advanced nanopatterning methods such as spacer defined double patterning or block copolymer lithography is discussed, as well as the application of selective ALD in self-aligned fabrication schemes.

5.
Nanoscale ; 4(15): 4477-80, 2012 Aug 07.
Article in English | MEDLINE | ID: mdl-22760689

ABSTRACT

A novel direct-write approach is presented, which relies on area-selective atomic layer deposition on seed layer patterns deposited by electron beam induced deposition. The method enables the nanopatterning of high-quality material with a lateral resolution of only ∼10 nm. Direct-write ALD is a viable alternative to lithography-based patterning with a better compatibility with sensitive nanomaterials.

SELECTION OF CITATIONS
SEARCH DETAIL
...