Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 16 de 16
Filter
Add more filters










Publication year range
1.
J Chem Phys ; 160(20)2024 May 28.
Article in English | MEDLINE | ID: mdl-38775743

ABSTRACT

Small molecule inhibitors (SMIs) have been gaining attention in the field of area-selective atomic layer deposition (ALD) because they can be applied in the vapor-phase. A major challenge for SMIs is that vapor-phase application leads to a disordered inhibitor layer with lower coverage as compared to self-assembled monolayers, SAMs. A lower coverage of SMIs makes achieving high selectivity for area-selective ALD more challenging. To overcome this challenge, mechanistic understanding is required for the formation of SMI layers and the resulting precursor blocking. In this study, reflection adsorption infrared spectroscopy measurements are used to investigate the performance of aniline as an SMI. Our results show that aniline undergoes catalytic surface reactions, such as hydrogenolysis, on a Ru non-growth area at substrate temperatures above 250 °C. At these temperatures, a greatly improved selectivity is observed for area-selective TaN ALD using aniline as an inhibitor. The results suggest that catalytic surface reactions of the SMI play an important role in improving precursor blocking, likely through the formation of a more carbon-rich inhibitor layer. More prominently, catalytic surface reactions can provide a new strategy for forming inhibitor layers that are otherwise very challenging or impossible to form directly through vapor-phase application.

2.
ACS Appl Nano Mater ; 5(12): 18116-18126, 2022 Dec 23.
Article in English | MEDLINE | ID: mdl-36583128

ABSTRACT

In many nano(opto)electronic devices, the roughness at surfaces and interfaces is of increasing importance, with roughness often contributing toward losses and defects, which can lead to device failure. Consequently, approaches that either limit roughness or smoothen surfaces are required to minimize surface roughness during fabrication. The atomic-scale processing techniques atomic layer deposition (ALD) and atomic layer etching (ALE) have experimentally been shown to smoothen surfaces, with the added benefit of offering uniform and conformal processing and precise thickness control. However, the mechanisms which drive smoothing during ALD and ALE have not been investigated in detail. In this work, smoothing of surfaces by ALD and ALE is studied using finite difference simulations that describe deposition/etching as a front propagating uniformly and perpendicular to the surface at every point. This uniform front propagation model was validated by performing ALD of amorphous Al2O3 using the TMA/O2 plasma. ALE from the TMA/SF6 plasma was also studied and resulted in faster smoothing than predicted by purely considering uniform front propagation. Correspondingly, it was found that for such an ALE process, a second mechanism contributes to the smoothing, hypothesized to be related to curvature-dependent surface fluorination. Individually, the atomic-scale processing techniques enable smoothing; however, ALD and ALE will need to be combined to achieve thin and smooth films, as is demonstrated and discussed in this work for multiple applications.

3.
J Phys Chem C Nanomater Interfaces ; 126(10): 4845-4853, 2022 Mar 17.
Article in English | MEDLINE | ID: mdl-35330759

ABSTRACT

Implementation of vapor/phase dosing of small molecule inhibitors (SMIs) in advanced atomic layer deposition (ALD) cycles is currently being considered for bottom-up fabrication by area-selective ALD. When SMIs are used, it can be challenging to completely block precursor adsorption due to the inhibitor size and the relatively short vapor/phase exposures. Two strategies for precursor blocking are explored: (i) physically covering precursor adsorption sites, i.e., steric shielding, and (ii) eliminating precursor adsorption sites from the surface, i.e., chemical passivation. In this work, it is determined whether steric shielding is enough for effective precursor blocking during area-selective ALD or whether chemical passivation is required as well. At the same time, we address why some ALD precursors are more difficult to block than others. To this end, the blocking of the Al precursor molecules trimethylaluminum (TMA), dimethylaluminum isopropoxide (DMAI), and tris(dimethylamino)aluminum (TDMAA) was studied by using acetylacetone (Hacac) as inhibitor. It was found that DMAI and TDMAA are more easily blocked than TMA because they adsorb on the same surface sites as Hacac, while TMA is also reactive with other surface sites. This work shows that chemical passivation plays a crucial role for precursor blocking in concert with steric shielding. Moreover, the reactivity of the precursor with the surface groups on the non-growth area dictates the effectiveness of blocking precursor adsorption.

4.
J Phys Chem C Nanomater Interfaces ; 125(7): 3913-3923, 2021 Feb 25.
Article in English | MEDLINE | ID: mdl-33815650

ABSTRACT

Metal fluorides generally demonstrate a wide band gap and a low refractive index, and they are commonly employed in optics and optoelectronics. Recently, an SF6 plasma was introduced as a novel co-reactant for the atomic layer deposition (ALD) of metal fluorides. In this work, the reaction mechanisms underlying the ALD of fluorides using a fluorine-containing plasma are investigated, considering aluminum fluoride (AlF3) ALD from Al(CH3)3 and an SF6 plasma as a model system. Surface infrared spectroscopy studies indicated that Al(CH3)3 reacts with the surface in a ligand-exchange reaction by accepting F from the AlF3 film and forming CH3 surface groups. It was found that at low deposition temperatures Al(CH3)3 also reacts with HF surface species. These HF species are formed during the SF6 plasma exposure and were detected both at the surface and in the gas phase using infrared spectroscopy and quadrupole mass spectrometry (QMS), respectively. Furthermore, QMS and optical emission spectroscopy (OES) measurements showed that CH4 and CH y F4-y (y ≤ 3) species are the main reaction products during the SF6 plasma exposure. The CH4 release is explained by the reaction of CH3 ligands with HF, while CH y F4-y species originate from the interaction of the SF6 plasma with CH3 ligands. At high temperatures, a transition from AlF3 deposition to Al2O3 etching was observed using infrared spectroscopy. The obtained insights indicate a reaction pathway where F radicals from the SF6 plasma eliminate the CH3 ligands remaining after precursor dosing and where F radicals are simultaneously responsible for the fluorination reaction. The understanding of the reaction mechanisms during AlF3 growth can help in developing ALD processes for other metal fluorides using a fluorine-containing plasma as the co-reactant as well as atomic layer etching (ALE) processes involving surface fluorination.

5.
ACS Appl Mater Interfaces ; 12(47): 53519-53527, 2020 Nov 25.
Article in English | MEDLINE | ID: mdl-33174735

ABSTRACT

Photoluminescence perovskite nanocrystals (NCs) have shown significant potential in optoelectronic applications in view of their narrow band emission with high photoluminescence quantum yields and color tunability. The main obstacle for practical applications is to obtain high durability against an external environment. In this work, a low temperature (50 °C) plasma-enhanced atomic layer deposition (PE-ALD) protection strategy was developed to stabilize CsPbBr3 NCs. Silica was employed as the encapsulation layer because of its excellent light transmission performance and water corrosion resistance. The growth mechanism of inorganic SiO2 via PE-ALD was investigated in detail. The Si precursor bis(diethylamino)silane (BDEAS) reacted with the hydroxyl groups (-OH) and thereby initiated the subsequent silica growth while having minimal influence to the organic ligands and did not cause PL quenching. Subsequently, O2 plasma with high reactivity was used to oxidize the amine ligands of the BDEAS precursor while did not etch the NCs. The obtained CsPbBr3 NCs/SiO2 film exhibited exceptional stability in water, light, and heat as compared to the pristine NC film. Based on this method, a white light-emitting diode with improved operational stability was successfully fabricated, which exhibited a wide color gamut (∼126% of the National Television Standard Committee). Our work successfully demonstrates an efficient protection scheme via the PE-ALD method, which extends the applied range of other materials for stabilization of perovskite NCs through this approach.

6.
ACS Mater Lett ; 2(5): 511-518, 2020 May 04.
Article in English | MEDLINE | ID: mdl-32421046

ABSTRACT

With downscaling of device dimensions, two-dimensional (2D) semiconducting transition metal dichalcogenides (TMDs) such as WS2 are being considered as promising materials for future applications in nanoelectronics. However, at these nanoscale regimes, incorporating TMD layers in the device architecture with precise control of critical features is challenging using current top-down processing techniques. In this contribution, we pioneer the combination of two key avenues in atomic-scale processing: area-selective atomic layer deposition (AS-ALD) and growth of 2D materials, and demonstrate bottom-up processing of 2D WS2 nanolayers. Area-selective deposition of WS2 nanolayers is enabled using an ABC-type plasma-enhanced ALD process involving acetylacetone (Hacac) as inhibitor (A), bis(tert-butylimido)-bis(dimethylamido)-tungsten as precursor (B), and H2S plasma as the co-reactant (C) at a low deposition temperature of 250 °C. The developed AS-ALD process results in the immediate growth of WS2 on SiO2 while effectively blocking growth on Al2O3 as confirmed by in situ spectroscopic ellipsometry and ex situ X-ray photoelectron spectroscopy measurements. As a proof of concept, the AS-ALD process is demonstrated on patterned Al2O3/SiO2 surfaces. The AS-ALD WS2 films exhibited sharp Raman (E 2g 1 and A 1g) peaks on SiO2, a fingerprint of crystalline WS2 layers, upon annealing at temperatures within the thermal budget of semiconductor back-end-of-line processing (≤450 °C). Our AS-ALD process also allows selective growth on various TMDs and transition metal oxides while blocking growth on HfO2 and TiO2. It is expected that this work will lay the foundation for area-selective ALD of other 2D materials.

7.
ACS Nano ; 14(2): 1757-1769, 2020 Feb 25.
Article in English | MEDLINE | ID: mdl-31967453

ABSTRACT

We introduce the synthesis of hybrid nanostructures comprised of ZnO nanocrystals (NCs) decorating nanosheets and nanowires (NWs) of MoS2 prepared by atomic layer deposition (ALD). The concentration, size, and surface-to-volume ratio of the ZnO NCs can be systematically engineered by controlling both the number of ZnO ALD cycles and the properties of the MoS2 substrates, which are prepared by sulfurizing ALD MoO3. Analysis of the chemical composition combined with electron microscopy and synchrotron X-ray techniques as a function of the number of ZnO ALD cycles, together with the results of quantum chemical calculations, help elucidate the ZnO growth mechanism and its dependence on the properties of the MoS2 substrate. The defect density and grain size of MoS2 nanosheets are controlled by the sulfurization temperature of ALD MoO3, and the ZnO NCs in turn nucleate selectively at defect sites on MoS2 surface and enlarge with increasing ALD cycle numbers. At higher ALD cycle numbers, the coalescence of ZnO NCs contributes to an increase in areal coverage and NC size. Additionally, the geometry of the hybrid structures can be tuned by changing the dimensionality of the MoS2, by employing vertical NWs of MoS2 as the substrate for ALD ZnO NCs, which leads to improvement of the relevant surface-to-volume ratio. Such materials are expected to find use in newly expanded applications, especially those such as sensors or photodevices based on a p-n heterojunction which relies on coupling transition-metal dichalcogenides with NCs.

8.
Chem Mater ; 31(1): 2-12, 2019 Jan 08.
Article in English | MEDLINE | ID: mdl-30774194

ABSTRACT

Bottom-up nanofabrication by area-selective atomic layer deposition (ALD) is currently gaining momentum in semiconductor processing, because of the increasing need for eliminating the edge placement errors of top-down processing. Moreover, area-selective ALD offers new opportunities in many other areas such as the synthesis of catalysts with atomic-level control. This Perspective provides an overview of the current developments in the field of area-selective ALD, discusses the challenge of achieving a high selectivity, and provides a vision for how area-selective ALD processes can be improved. A general cause for the loss of selectivity during deposition is that the character of surfaces on which no deposition should take place changes when it is exposed to the ALD chemistry. A solution is to implement correction steps during ALD involving for example surface functionalization or selective etching. This leads to the development of advanced ALD cycles by combining conventional two-step ALD cycles with correction steps in multistep cycle and/or supercycle recipes.

9.
J Phys Chem C Nanomater Interfaces ; 122(39): 22519-22529, 2018 Oct 04.
Article in English | MEDLINE | ID: mdl-30319724

ABSTRACT

This work investigates the role of the co-reactant for the atomic layer deposition of cobalt (Co) films using cobaltocene (CoCp2) as the precursor. Three different processes were compared: an AB process using NH3 plasma, an AB process using H2/N2 plasma, and an ABC process using subsequent N2 and H2 plasmas. A connection was made between the plasma composition and film properties, thereby gaining an understanding of the role of the various plasma species. For NH3 plasma, H2 and N2 were identified as the main species apart from the expected NH3, whereas for the H2/N2 plasma, NH3 was detected. Moreover, HCp was observed as a reaction product in the precursor and co-reactant subcycles. Both AB processes showed self-limiting half-reactions and yielded similar material properties, that is, high purity and low resistivity. For the AB process with H2/N2, the resistivity and impurity content depended on the H2/N2 mixing ratio, which was linked to the production of NH3 molecules and related radicals. The ABC process resulted in high-resistivity and low-purity films, attributed to the lack of NH x,x≤3 species during the co-reactant exposures. The obtained insights are summarized in a reaction scheme where CoCp2 chemisorbs in the precursor subcycle and NH x species eliminate the remaining Cp in the consecutive subcycle.

10.
Chem Mater ; 30(3): 663-670, 2018 Feb 13.
Article in English | MEDLINE | ID: mdl-29503508

ABSTRACT

Area-selective atomic layer deposition (ALD) is envisioned to play a key role in next-generation semiconductor processing and can also provide new opportunities in the field of catalysis. In this work, we developed an approach for the area-selective deposition of metal oxides on noble metals. Using O2 gas as co-reactant, area-selective ALD has been achieved by relying on the catalytic dissociation of the oxygen molecules on the noble metal surface, while no deposition takes place on inert surfaces that do not dissociate oxygen (i.e., SiO2, Al2O3, Au). The process is demonstrated for selective deposition of iron oxide and nickel oxide on platinum and iridium substrates. Characterization by in situ spectroscopic ellipsometry, transmission electron microscopy, scanning Auger electron spectroscopy, and X-ray photoelectron spectroscopy confirms a very high degree of selectivity, with a constant ALD growth rate on the catalytic metal substrates and no deposition on inert substrates, even after 300 ALD cycles. We demonstrate the area-selective ALD approach on planar and patterned substrates and use it to prepare Pt/Fe2O3 core/shell nanoparticles. Finally, the approach is proposed to be extendable beyond the materials presented here, specifically to other metal oxide ALD processes for which the precursor requires a strong oxidizing agent for growth.

11.
ACS Nano ; 11(9): 9303-9311, 2017 09 26.
Article in English | MEDLINE | ID: mdl-28850774

ABSTRACT

Area-selective atomic layer deposition (ALD) is rapidly gaining interest because of its potential application in self-aligned fabrication schemes for next-generation nanoelectronics. Here, we introduce an approach for area-selective ALD that relies on the use of chemoselective inhibitor molecules in a three-step (ABC-type) ALD cycle. A process for area-selective ALD of SiO2 was developed comprising acetylacetone inhibitor (step A), bis(diethylamino)silane precursor (step B), and O2 plasma reactant (step C) pulses. Our results show that this process allows for selective deposition of SiO2 on GeO2, SiNx, SiO2, and WO3, in the presence of Al2O3, TiO2, and HfO2 surfaces. In situ Fourier transform infrared spectroscopy experiments and density functional theory calculations underline that the selectivity of the approach stems from the chemoselective adsorption of the inhibitor. The selectivity between different oxide starting surfaces and the compatibility with plasma-assisted or ozone-based ALD are distinct features of this approach. Furthermore, the approach offers the opportunity of tuning the substrate-selectivity by proper selection of inhibitor molecules.

13.
J Chem Phys ; 146(5): 052802, 2017 Feb 07.
Article in English | MEDLINE | ID: mdl-28178803

ABSTRACT

For atomic layer deposition (ALD) of doped, ternary, and quaternary materials achieved by combining multiple binary ALD processes, it is often difficult to correlate the material properties and growth characteristics with the process parameters due to a limited understanding of the underlying surface chemistry. In this work, in situ Fourier transform infrared (FTIR) spectroscopy was employed during ALD of zinc-oxide, tin-oxide, and zinc-tin-oxide (ZTO) with the precursors diethylzinc (DEZ), tetrakis(dimethylamino)tin (TDMASn), and H2O. The main aim was to investigate the molecular basis for the nucleation delay during ALD of ZTO, observed when ZnO ALD is carried out after SnO2 ALD. Gas-phase FTIR spectroscopy showed that dimethylamine, the main reaction product of the SnO2 ALD process, is released not only during SnO2 ALD but also when depositing ZnO after SnO2, indicating incomplete removal of the ligands of the TDMASn precursor from the surface. Transmission FTIR spectroscopy performed during ALD on SiO2 powder revealed that a significant fraction of the ligands persist during both SnO2 and ZnO ALD. These observations provide experimental evidence for a recently proposed mechanism, based on theoretical calculations, suggesting that the elimination of precursor ligands is often not complete. In addition, it was found that the removal of precursor ligands by H2O exposure is even less effective when ZnO ALD is carried out after SnO2 ALD, which likely causes the nucleation delay in ZnO ALD during the deposition of ZTO. The underlying mechanisms and the consequences of the incomplete elimination of precursor ligands are discussed.

14.
Nano Lett ; 16(12): 7565-7572, 2016 12 14.
Article in English | MEDLINE | ID: mdl-27960454

ABSTRACT

Nanostructured core-shell Si-Ta3N5 photoanodes were designed and synthesized to overcome charge transport limitations of Ta3N5 for photoelectrochemical water splitting. The core-shell devices were fabricated by atomic layer deposition of amorphous Ta2O5 onto nanostructured Si and subsequent nitridation to crystalline Ta3N5. Nanostructuring with a thin shell of Ta3N5 results in a 10-fold improvement in photocurrent compared to a planar device of the same thickness. In examining thickness dependence of the Ta3N5 shell from 10 to 70 nm, superior photocurrent and absorbed-photon-to-current efficiencies are obtained from the thinner Ta3N5 shells, indicating minority carrier diffusion lengths on the order of tens of nanometers. The fabrication of a heterostructure based on a semiconducting, n-type Si core produced a tandem photoanode with a photocurrent onset shifted to lower potentials by 200 mV. CoTiOx and NiOx water oxidation cocatalysts were deposited onto the Si-Ta3N5 to yield active photoanodes that with NiOx retained 50-60% of their maximum photocurrent after 24 h chronoamperometry experiments and are thus among the most stable Ta3N5 photoanodes reported to date.

15.
ACS Nano ; 10(4): 4451-8, 2016 04 26.
Article in English | MEDLINE | ID: mdl-26950397

ABSTRACT

Area-selective atomic layer deposition (AS-ALD) is attracting increasing interest because of its ability to enable both continued dimensional scaling and accurate pattern placement for next-generation nanoelectronics. Here we report a strategy for depositing material onto three-dimensional (3D) nanostructures with topographic selectivity using an ALD process with the aid of an ultrathin hydrophobic surface layer. Using ion implantation of fluorocarbons (CFx), a hydrophobic interfacial layer is formed, which in turn causes significant retardation of nucleation during ALD. We demonstrate the process for Pt ALD on both blanket and 2D patterned substrates. We extend the process to 3D structures, demonstrating that this method can achieve selective anisotropic deposition, selectively inhibiting Pt deposition on deactivated horizontal regions while ensuring that only vertical surfaces are decorated during ALD. The efficacy of the approach for metal oxide ALD also shows promise, though further optimization of the implantation conditions is required. The present work advances practical applications that require area-selective coating of surfaces in a variety of 3D nanostructures according to their topographical orientation.

16.
Nanotechnology ; 27(3): 034001, 2016 Jan 22.
Article in English | MEDLINE | ID: mdl-26636744

ABSTRACT

The deposition of Pd and Pt nanoparticles by atomic layer deposition (ALD) has been studied extensively in recent years for the synthesis of nanoparticles for catalysis. For these applications, it is essential to synthesize nanoparticles with well-defined sizes and a high density on large-surface-area supports. Although the potential of ALD for synthesizing active nanocatalysts for various chemical reactions has been demonstrated, insight into how to control the nanoparticle properties (i.e. size, composition) by choosing suitable processing conditions is lacking. Furthermore, there is little understanding of the reaction mechanisms during the nucleation stage of metal ALD. In this work, nanoparticles synthesized with four different ALD processes (two for Pd and two for Pt) were extensively studied by transmission electron spectroscopy. Using these datasets as a starting point, the growth characteristics and reaction mechanisms of Pd and Pt ALD relevant for the synthesis of nanoparticles are discussed. The results reveal that ALD allows for the preparation of particles with control of the particle size, although it is also shown that the particle size distribution is strongly dependent on the processing conditions. Moreover, this paper discusses the opportunities and limitations of the use of ALD in the synthesis of nanocatalysts.

SELECTION OF CITATIONS
SEARCH DETAIL
...