Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 1 de 1
Filter
Add more filters










Database
Language
Publication year range
1.
Langmuir ; 34(23): 6660-6669, 2018 06 12.
Article in English | MEDLINE | ID: mdl-29768003

ABSTRACT

We report the catalyzed atomic layer deposition (ALD) of silicon oxide using Si2Cl6, H2O, and various alkylamines. The density functional theory (DFT) calculations using the periodic slab model of the SiO2 surface were performed for the selection of alternative Lewis base catalysts with high catalytic activities. During the first half-reaction, the catalysts with less steric hindrance such as pyridine would be more effective than bulky alkylamines despite lower nucleophilicity. On the other hand, during the second half-reaction, the catalysts with a high nucleophilicity such as triethylamine (Et3N) would be more efficient because the steric hindrance is less critical. The in situ process monitoring shows that the calculated atomic charge is a good indicator for expecting the catalyst activity in the ALD reaction. The use of Et3N in the second half-reaction was essential to improving the growth rate as well as the step coverage of the film because the Et3N-catalyzed process deposited a SiO2 film with a step coverage of 98% that is better than 93% of the pyridine-catalyzed process. The adsorption of pyridine, ammonia (NH3), or trimethylamine (Me3N) salts was more favorable than that of Et3N, n-Pr3N, or iPr3N salts. Therefore, Et3N was expected to incorporate less amine salts in the film as compared to pyridine, and the compositional analyses confirmed that the concentrations of Cl and N by the Et3N-catalyzed process were significantly lower than those by the pyridine-catalyzed process.

SELECTION OF CITATIONS
SEARCH DETAIL
...