Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 20 de 41
Filter
Add more filters










Publication year range
1.
Article in English | MEDLINE | ID: mdl-36888913

ABSTRACT

Molybdenum oxide thin films are very appealing for gas sensing applications due to their tunable material characteristics. Particularly, the growing demand for developing hydrogen sensors has triggered the exploration of functional materials such as molybdenum oxides (MoOx). Strategies to enhance the performance of MoOx-based gas sensors include nanostructured growth accompanied by precise control of composition and crystallinity. These features can be delivered by using atomic layer deposition (ALD) processing of thin films, where precursor chemistry plays an important role. Herein, we report a new plasma-enhanced ALD process for molybdenum oxide employing the molybdenum precursor [Mo(NtBu)2(tBu2DAD)] (DAD = diazadienyl) and oxygen plasma. Analysis of the film thickness reveals typical ALD characteristics such as linearity and surface saturation with a growth rate of 0.75 Å/cycle in a broad temperature window between 100 and 240 °C. While the films are amorphous at 100 °C, crystalline ß-MoO3 is obtained at 240 °C. Compositional analysis reveals nearly stoichiometric and pure MoO3 films with oxygen vacancies present at the surface. Subsequently, hydrogen gas sensitivity of the molybdenum oxide thin films is demonstrated in a laboratory-scale chemiresistive hydrogen sensor setup at an operation temperature of 120 °C. Sensitivities of up to 18% are achieved for the film deposited at 240 °C, showing a strong correlation between crystallinity, oxygen vacancies at the surface, and hydrogen gas sensitivity.

2.
Small ; 19(1): e2204636, 2023 Jan.
Article in English | MEDLINE | ID: mdl-36354167

ABSTRACT

The intrinsic properties of semiconducting oxides having nanostructured morphology are highly appealing for gas sensing. In this study, the fabrication of nanostructured WO3 thin films with promising surface characteristics for hydrogen (H2 ) gas sensing applications is accomplished. This is enabled by developing a chemical vapor deposition (CVD) process employing a new and volatile tungsten precursor bis(diisopropylamido)-bis(tert-butylimido)-tungsten(VI), [W(Nt Bu)2 (Ni Pr2 )2 ]. The as-grown nanostructured WO3 layers are thoroughly analyzed. Particular attention is paid to stoichiometry, surface characteristics, and morphology, all of which strongly influence the gas-sensing potential of WO3 . Synchrotron-based ultraviolet photoelectron spectroscopy (UPS), X-ray photoelectron spectroscopy (XPS), X-ray photoelectron emission microscopy (XPEEM), low-energy electron microscopy (LEEM) and 4-point van der Pauw (vdP) technique made it possible to analyze the surface chemistry and structural uniformity with a spatially resolved insight into the chemical, electronic and electrical properties. The WO3 layer is employed as a hydrogen (H2 ) sensor within interdigitated mini-mobile sensor architecture capable of working using a standard computer's 5 V 1-wirebus connection. The sensor shows remarkable sensitivity toward H2 . The high, robust, and repeatable sensor response (S) is attributed to the homogenous distribution of the W5+ oxidation state and associated oxygen vacancies, as shown by synchrotron-based UPS, XPS, and XPEEM analysis.

3.
ACS Appl Mater Interfaces ; 14(46): 52149-52162, 2022 Nov 23.
Article in English | MEDLINE | ID: mdl-36351209

ABSTRACT

Thin films of iridium can be utilized in a wide range of applications and are particularly interesting for catalytic transformations. For the scalable deposition of functional Ir thin films, metalorganic chemical vapor deposition (MOCVD) is the method of choice, for which organometallic precursors that embody a high volatility and thermal stability need to be specifically tailored. Herein, we report the synthesis, analysis, and evaluation of new volatile Ir(I)-1,5-cyclooctadiene complexes bearing all-nitrogen coordinating guanidinate (N,N'-diisopropyl-2-dimethylamido-guanidinate (DPDMG)), amidinate (N,N'-diisopropyl-amidinate (DPAMD)), and formamidinate (N,N'-diisopropyl-formamidinate (DPfAMD)) ligands. The amidinate-based Ir complex [Ir(COD)(DPAMD)] together with O2 was implemented in MOCVD experiments resulting in highly crystalline, dense, and conductive Ir films on a variety of substrate materials. The Ir deposits achieved outstanding electrochemical performance with overpotentials in the range of 50 mV at -10 mA·cm-2 for catalytic hydrogen evolution reaction (HER) in acidic solution. The ability to deposit Ir layers via MOCVD exhibiting promising functional properties is a significant step toward large-scale applications.

4.
Dalton Trans ; 51(39): 14970-14979, 2022 Oct 11.
Article in English | MEDLINE | ID: mdl-36111964

ABSTRACT

Tin monoxide (SnO) is a promising oxide semiconductor which is appealing for a wide range of applications from channel materials in p-type field effect transistors (FET) to electrode materials searched for next-generation batteries. For the controlled growth of SnO films at low temperatures, atomic layer deposition (ALD) is employed in this study, where the choice of the precursor plays a significant role. A comparative thermal evaluation of four different amidinate-based tin(II) precursors and the influence of the ligand sphere on their physicochemical properties revealed that bis(N,N'-diisopropylformamidinato tin(II) (1) possesses the required volatility, good thermal stability and sufficient reactivity towards water, to be implemented as the ALD precursor. The water-assisted ALD process resulted in crystalline SnO films on Si substrates with a growth per cycle (GPC) of 0.82 Å at temperatures as low as 140 °C. By employing complementary analytical tools, namely, X-ray diffraction (XRD), atomic force microscopy (AFM), X-ray reflectivity (XRR), Rutherford backscattering spectrometry/nuclear reaction analysis (RBS/NRA) and X-ray photoelectron spectroscopy (XPS), the formation of tin monoxide was confirmed. Finally, the optical properties of the as-deposited films were analyzed via UV-Vis spectroscopy, exhibiting a band gap of 2.74 eV, which further confirms the formation of the targeted SnO phase.

5.
Chemistry ; 28(16): e202103798, 2022 Mar 16.
Article in English | MEDLINE | ID: mdl-35044704

ABSTRACT

Cu and Ag precursors that are volatile, reactive, and thermally stable are currently of high interest for their application in atomic-layer deposition (ALD) of thin metal films. In pursuit of new precursors for coinage metals, namely Cu and Ag, a series of new N-heterocyclic carbene (NHC)-based CuI and AgI complexes were synthesized. Modifications in the substitution pattern of diketonate-based anionic backbones led to five monomeric Cu complexes and four closely related Ag complexes with the general formula [M(tBu NHC)(R)] (M=Cu, Ag; tBu NHC=1,3-di-tert-butyl-imidazolin-2-ylidene; R=diketonate). Thermal analysis indicated that most of the Cu complexes are thermally stable and volatile compared to the more fragile Ag analogs. One of the promising Cu precursors was evaluated for the ALD of nanoparticulate Cu metal deposits by using hydroquinone as the reducing agent at appreciably low deposition temperatures (145-160 °C). This study highlights the considerable impact of the employed ligand sphere on the structural and thermal properties of metal complexes that are relevant for vapor-phase processing of thin films.

6.
J Phys Chem C Nanomater Interfaces ; 126(51): 21667-21680, 2022 Dec 29.
Article in English | MEDLINE | ID: mdl-36605782

ABSTRACT

The design of novel and abundant catalytic materials for electrolysis is crucial for reaching carbon neutrality of the global energy system. A deliberate approach to catalyst design requires both theoretical and experimental knowledge not only of the target reactions but also of the supplementary mechanisms affecting the catalytic activity. In this study, we focus on the interplay of hydrogen mobility and reactivity in the hydrogen evolution reaction catalyst MoS2. We have studied the diffusion of atomic hydrogen and water by means of neutron and X-ray photoelectron spectroscopies combined with classical molecular dynamics simulations. The observed interaction of water with single-crystal MoS2 shows the possibility of intercalation within volume defects, where it can access edge sites of the material. Our surface studies also demonstrate that atomic hydrogen can be inserted into MoS2, where it then occupies various adsorption sites, possibly favoring defect vicinities. The motion of H atoms parallel to the layers of MoS2 is fast with D ≈ 1 × 10-9 m2/s at room temperature and exhibits Brownian diffusion behavior with little dependence on temperature, i.e., with a very low diffusion activation barrier.

7.
Dalton Trans ; 50(41): 14832-14841, 2021 Oct 26.
Article in English | MEDLINE | ID: mdl-34596651

ABSTRACT

The III-V semiconductor GaN is a promising material for photoelectrochemical (PEC) cells, however the large bandgap of 3.45 eV is a considerable hindrance for the absorption of visible light. Therefore, the substitution of small amounts of N anions by isovalent Sb is a promising route to lower the bandgap and thus increase the PEC activity under visible light. Herein we report a new chemical vapor deposition (CVD) process utilizing the precursors bis(N,N'-diisopropyl-2-methyl-amidinato)-methyl gallium (III) and triphenyl antimony (TPSb) for the growth of GaSbxN1-x alloys. X-ray diffraction (XRD) and scanning electron microscopy (SEM) measurements show crystalline and homogeneous thin films at deposition temperatures in the range of 500-800 °C. Rutherford backscattering spectrometry (RBS) combined with nuclear reaction analysis (NRA) shows an incorporation of 0.2-0.7 at% antimony into the alloy, which results in a slight bandgap decrease (up to 0.2 eV) accompanied by enhanced sub-bandgap optical response. While the resulting photoanodes are active under visible light, the external quantum efficiencies remained low. Intriguingly, the best performing films exhibits the lowest charge carrier mobility according to time resolved THz spectroscopy (TRTS) and microwave conductivity (TRMC) measurements, which showed mobilities of up to 1.75 cm2 V-1 s-1 and 1.2 × 10-2 cm2 V-1 s-1, for each timescale, respectively.

8.
Dalton Trans ; 50(37): 12944-12956, 2021 Sep 28.
Article in English | MEDLINE | ID: mdl-34581336

ABSTRACT

The synthesis and characterization of a series of closely related Y(III) compounds comprising the formamidinate ligands (RNCHNR) (R = alkyl) is reported, with the scope of using them as prospective precursors for atomic layer deposition (ALD) of yttrium oxide (Y2O3) thin films. The influence of the side chain variation on the thermal properties of the resulting complexes is studied and benchmarked by thermal analysis and vapor pressure measurements. Density functional theory (DFT) studies give theoretical insights into the reactivity of the compounds towards water, which was targeted as a co-reactant for the deposition of Y2O3via thermal ALD in the next step. Among the four complexes analyzed, tris(N,N'-di-tert-butyl-formamidinato)yttrium(III) [Y(tBu2-famd)3] 1 was found to possess enhanced thermal stability and was selected for Y2O3 ALD process development. A broad ALD window ranging from 200 °C to 325 °C was obtained, yielding films of high compositional quality. Furthermore, with a film density of (4.95 ± 0.05) g cm-1 close to the bulk value, polycrystalline fcc Y2O3 layers with a smooth topography resulted in promising dielectric properties when implemented in metal insulator semiconductor (MIS) capacitor structures.

9.
Phys Chem Chem Phys ; 23(13): 7961-7973, 2021 Apr 07.
Article in English | MEDLINE | ID: mdl-33459737

ABSTRACT

The diffusion of hydrogen adsorbed inside layered MoS2 crystals has been studied by means of quasi-elastic neutron scattering, neutron spin-echo spectroscopy, nuclear reaction analysis, and X-ray photoelectron spectroscopy. The neutron time-of-flight and neutron spin-echo measurements demonstrate fast diffusion of hydrogen molecules parallel to the basal planes of the two dimensional crystal planes. At room temperature and above, this intra-layer diffusion is of a similar speed to the surface diffusion that has been observed in earlier studies for hydrogen atoms on Pt surfaces. A significantly slower hydrogen diffusion was observed perpendicular to the basal planes using nuclear reaction analysis.

10.
ACS Appl Mater Interfaces ; 13(3): 4203-4210, 2021 Jan 27.
Article in English | MEDLINE | ID: mdl-33435668

ABSTRACT

We investigate all-inorganic perovskite CsPbxSn1-xBr3 thin films to determine the variations in the band gap and electronic structure associated with the Pb/Sn ratio. We observe that the band gap can be tuned between 1.86 eV (x = 0) and 2.37 eV (x = 1). Intriguingly, this change is nonlinear in x, with a bowing parameter of 0.9 eV; furthermore, a slight band gap narrowing is found for low Pb content (minimum x ∼ 0.3). The wide tunability of the band gap makes CsPbxSn1-xBr3 a promising material, e.g., for a wide-gap subcell in tandem applications or for color-tunable light-emitting diodes. Employing photoelectron spectroscopy, we show that the valence band varies with the Pb/Sn ratio, while the conduction band is barely affected.

11.
RSC Adv ; 11(13): 7231-7237, 2021 Feb 10.
Article in English | MEDLINE | ID: mdl-35423282

ABSTRACT

A thin-film materials library in the system V-Bi-O was fabricated by reactive co-sputtering. The composition of Bi relative to V was determined by Rutherford backscattering spectroscopy, ranging from 0.06 to 0.84 at% along the library. The VO2 phase M1 was detected by X-ray diffraction over the whole library, however a second phase was observed in the microstructure of films with Bi contents > 0.29 at%. The second phase was determined by electron diffraction to be BiVO4, which suggests that the solubility limit of Bi in VO2 is only ∼0.29 at%. For Bi contents from 0.08 to 0.29 at%, the phase transformation temperatures of VO2:Bi increase from 74.7 to 76.4 °C by 8 K per at% Bi. With X-ray photoemission spectroscopy, the oxidation state of Bi was determined to be 3+. The V5+/V4+ ratio increases with increasing Bi content from 0.10 to 0.84 at%. The similarly increasing tendency of the V5+/V4+ ratio and T c with Bi content suggests that although the ionic radius of Bi3+ is much larger than that of V4+, the charge doping effect and the resulting V5+ are more prominent in regulating the phase transformation behavior of Bi-doped VO2.

12.
RSC Adv ; 11(5): 2565-2574, 2021 Jan 11.
Article in English | MEDLINE | ID: mdl-35424225

ABSTRACT

We report the application of tris(N,N'-diisopropyl-formamidinato)yttrium(iii) [Y(DPfAMD)3] as a promising precursor in a water-assisted thermal atomic layer deposition (ALD) process for the fabrication of high quality Y2O3 thin films in a wide temperature range of 150 °C to 325 °C. This precursor exhibits distinct advantages such as improved chemical and thermal stability over the existing Y2O3 ALD precursors including the homoleptic and closely related yttrium tris-amidinate [Y(DPAMD)3] and tris-guanidinate [Y(DPDMG)3], leading to excellent thin film characteristics. Smooth, homogeneous, and polycrystalline (fcc) Y2O3 thin films were deposited at 300 °C with a growth rate of 1.36 Å per cycle. At this temperature, contamination levels of C and N were under the detectable limits of nuclear reaction analysis (NRA), while X-ray photoelectron spectroscopy (XPS) measurements confirmed the high purity and stoichiometry of the thin films. From the electrical characterization of metal-insulator-semiconductor (MIS) devices, a permittivity of 13.9 at 1 MHz could be obtained, while the electric breakdown field is in the range of 4.2 and 6.1 MV cm-1. Furthermore, an interface trap density of 1.25 × 1011 cm-2 and low leakage current density around 10-7 A cm-2 at 2 MV cm-1 are determined, which satisfies the requirements of gate oxides for complementary metal-oxide-semiconductor (CMOS) based applications.

13.
Chem Commun (Camb) ; 56(89): 13752-13755, 2020 Nov 18.
Article in English | MEDLINE | ID: mdl-33063069

ABSTRACT

This paper demonstrates a carbene stabilized precursor [Cu(tBuNHC)(hmds)] with suitable volatility, reactivity and thermal stability, that enables the spatial plasma-enhanced atomic layer deposition (APP-ALD) of copper thin films at atmospheric pressure. The resulting conductive and pure copper layers were thoroughly analysed and a comparison of precursor and process with the previously reported silver analogue [Ag(tBuNHC)(hmds)] revealed interesting similarities and notable differences in precursor chemistry and growth characteristics. This first report of APP-ALD grown copper layers is an important starting point for high throughput, low-cost manufacturing of copper films for nano- and optoelectronic devices.

14.
Dalton Trans ; 49(38): 13462-13474, 2020 Oct 06.
Article in English | MEDLINE | ID: mdl-32966456

ABSTRACT

Molybdenum disulfide (MoS2) is known for its versatile properties and hence is promising for a wide range of applications. The fabrication of high quality MoS2 either as homogeneous films or as two-dimensional layers on large areas is thus the objective of intense research. Since industry requirements on MoS2 thin films can hardly be matched by established exfoliation fabrication methods, there is an enhanced need for developing new chemical vapor deposition (CVD) and atomic layer deposition (ALD) processes where a rational precursor selection is a crucial step. In this study, a new molybdenum precursor, namely 1,4-di-tert-butyl-1,4-diazabutadienyl-bis(tert-butylimido)molybdenum(vi) [Mo(NtBu)2(tBu2DAD)], is identified as a potential candidate. The combination of imido and chelating 1,4-diazadieneyl ligand moieties around the molybdenum metal center results in a monomeric compound possessing adequate thermal characteristics relevant for vapor phase deposition applications. Hexagonal MoS2 layers are fabricated in a metalorganic CVD (MOCVD) process with elemental sulfur as the co-reactant at temperatures between 600 °C and 800 °C. The structure and composition of the films are investigated by X-ray diffraction, high resolution transmission electron microscopy, synchrotron X-ray photoelectron spectroscopy and Raman spectroscopy revealing crystalline and stoichiometric MoS2 films. The new MOCVD process developed for MoS2 is highly promising due to its moderate process conditions, scalability and controlled targeted composition.

15.
Dalton Trans ; 49(31): 10755-10764, 2020 Aug 11.
Article in English | MEDLINE | ID: mdl-32530011

ABSTRACT

The fabrication of SnOx in thin film form via chemical solution deposition (CSD) processes is favored over vacuum based techniques as it is cost effective and simpler. The precursor employed plays a central role in defining the process conditions for CSD. Particularly for processing SnO2 layers that are appealing for sensor or electronic applications, there are limited precursors available for CSD. Thus the focus of this work was to develop metalorganic precursors for tin, based on the ketoiminate ligand class. By systematic molecular engineering of the ligand periphery, a series of new homoleptic Sn(ii) ß-ketoiminate complexes was synthesized, namely bis[4-(2-methoxyethylimino)-3-pentanonato] tin, [Sn(MEKI)2] (1), bis[4-(2-ethoxyethylimino)-2-pentanonato] tin, [Sn(EEKI)2] (2), bis[4-(3-methoxypropylimino)-2-pentanonato] tin, [Sn(MPKI)2] (3), bis[4-(3-ethoxypropylimino)-2-pentanonato] tin, [Sn(EPKI)2] (4) and bis[4-(3-isopropoxypropylimino)-2-pentanonato] tin, [Sn(iPPKI)2] (5). All these N-side-chain ether functionalized compounds were analyzed by nuclear magnetic resonance (NMR) spectroscopy, electron impact mass spectrometry (EI-MS), elemental analysis (EA) and thermogravimetric analysis (TGA). The solid state molecular structure of [Sn(MPKI)2] (3) was eludicated by means of single crystal X-ray diffraction (SCXRD). Interestingly, this class of compounds features excellent solubility and stability in common organic solvents alongside good reactivity towards H2O and low decomposition temperatures, thus fulfilling the desired requirements for CSD of tin oxides. With compound 3 as a representative example, we have demonstrated the possibility to directly deposit SnOx layers via hydrolysis upon exposure to air followed by heat treatment under oxygen at moderate temperatures and most importantly without the need for any additive that is generally used in CSD. A range of complementary analytical methods were employed, namely X-ray diffraction (XRD), Rutherford backscattering spectrometry (RBS), nuclear reaction analysis (NRA), X-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM) to analyse the structure, morphology and composition of the SnOx layers.

16.
Inorg Chem ; 59(14): 10059-10070, 2020 Jul 20.
Article in English | MEDLINE | ID: mdl-32589409

ABSTRACT

Nickel-based nanostructured materials have gained widespread attention, particularly for energy-related applications. Employing chemical vapor deposition (CVD) for NiO necessitates suitable nickel precursors that are volatile and stable. Herein, we report the synthesis and characterization of a series of new nickel ß-ketoiminato complexes with different aliphatic and etheric side chain substitutions, namely, bis(4-(isopropylamino)-pent-3-en-2-onato)nickel(II) ([Ni(ipki)2], 1), bis(4-(2-methoxyethylamino)pent-3-en-2-onato)nickel(II) ([Ni(meki)2], 2), bis(4-(2-ethoxyethylamino)pent-3-en-2-onato)nickel(II) ([Ni(eeki)2], 3), bis(4-(3-methoxy-propylamino)-pent-3-en-2-onato)nickel(II) ([Ni(mpki)2], 4), and bis(4-(3-ethoxypropylamino)pent-3-en-2-onato)nickel(II) ([Ni(epki)2], 5). These compounds have been thoroughly characterized with regard to their purity and identity by means of nuclear magnetic resonance spectroscopy (NMR) and electron impact mass spectrometry (EI-MS). Contrary to other transition metal ß-ketoiminates, the imino side chain strongly influences the structural geometry of the complexes, which was ascertained via single-crystal X-ray diffraction (XRD). As a result, the magnetic momenta of the molecules also differ significantly as evidenced by the magnetic susceptibility measurements employing Evan's NMR method in solution. Thermal analysis revealed the suitability of these compounds as new class of precursors for CVD of Ni containing materials. As a representative precursor, compound 2 was evaluated for the CVD of NiO thin films on Si(100) and conductive glass substrates. The as-deposited nanostructured layers were stoichiometric and phase pure NiO as confirmed by XRD, Rutherford backscattering spectrometry (RBS), and nuclear reaction analysis (NRA). X-ray photoelectron spectroscopy (XPS) indicated the formation of slightly oxygen-rich surfaces. The assessment of NiO films in electrocatalysis revealed promising activity for the oxygen evolution reactions (OER). The current densities of 10 mA cm-2 achieved at overpotentials ranging between 0.48 and 0.52 V highlight the suitability of the new Ni complexes in CVD processes for the fabrication of thin film electrocatalysts.

17.
Small ; 16(22): e1907506, 2020 Jun.
Article in English | MEDLINE | ID: mdl-32346997

ABSTRACT

The identification of bis-3-(N,N-dimethylamino)propyl zinc ([Zn(DMP)2 ], BDMPZ) as a safe and potential alternative to the highly pyrophoric diethyl zinc (DEZ) as atomic layer deposition (ALD) precursor for ZnO thin films is reported. Owing to the intramolecular stabilization, BDMPZ is a thermally stable, volatile, nonpyrophoric solid compound, however, it possesses a high reactivity due to the presence of Zn-C and Zn-N bonds in this complex. Employing this precursor, a new oxygen plasma enhanced (PE)ALD process in the deposition temperature range of 60 and 160 °C is developed. The resulting ZnO thin films are uniform, smooth, stoichiometric, and highly transparent. The deposition on polyethylene terephthalate (PET) at 60 °C results in dense and compact ZnO layers for a thickness as low as 7.5 nm with encouraging oxygen transmission rates (OTR) compared to the bare PET substrates. As a representative application of the ZnO layers, the gas sensing properties are investigated. A high response toward NO2 is observed without cross-sensitivities against NH3 and CO. Thus, the new PEALD process employing BDMPZ has the potential to be a safe substitute to the commonly used DEZ processes.

18.
Dalton Trans ; 48(44): 16812, 2019 11 28.
Article in English | MEDLINE | ID: mdl-31670361

ABSTRACT

Correction for 'Luminescent Nd2S3 thin films: a new chemical vapour deposition route towards rare-earth sulphides' by Stefan Cwik et al., Dalton Trans., 2019, 48, 2926-2938.

19.
ACS Comb Sci ; 21(12): 782-793, 2019 12 09.
Article in English | MEDLINE | ID: mdl-31689080

ABSTRACT

Cr-Al-N thin film materials libraries were synthesized by combinatorial reactive high power impulse magnetron sputtering (HiPIMS). Different HiPIMS repetition frequencies and peak power densities were applied altering the ion to growth flux ratio. Moreover, time-resolved ion energy distribution functions were measured with a retarding field energy analyzer (RFEA). The plasma properties were measured during the growth of films with different compositions within the materials library and correlated to the resulting film properties such as phase, grain size, texture, indentation modulus, indentation hardness, and residual stress. The influence of the ion to growth flux ratio on the film properties was most significant for films with high Al-content (xAl = 50 at. %). X-ray diffraction with a 2D detector revealed hcp-AlN precipitation starting from Al-concentration xAl ≥ 50 at. %. This precipitation might be related to the kinetically enhanced adatom mobility for a high ratio of ions per deposited atoms, leading to strong intermixing of the deposited species. A structure zone transition, induced by composition and flux ratio JI/JG, from zone T to zone Ic structure was observed which hints toward the conclusion that the combination of increasing flux ratio and Al-concentration lead to opposing trends regarding the increase in homologous temperature.


Subject(s)
Aluminum/chemistry , Chromium/chemistry , Nitrogen/chemistry , Combinatorial Chemistry Techniques , Materials Testing , Stress, Mechanical
20.
Adv Mater ; 31(39): e1903717, 2019 Sep.
Article in English | MEDLINE | ID: mdl-31402527

ABSTRACT

Cesium lead halide perovskites are of interest for light-emitting diodes and lasers. So far, thin-films of CsPbX3 have typically afforded very low photoluminescence quantum yields (PL-QY < 20%) and amplified spontaneous emission (ASE) only at cryogenic temperatures, as defect related nonradiative recombination dominated at room temperature (RT). There is a current belief that, for efficient light emission from lead halide perovskites at RT, the charge carriers/excitons need to be confined on the nanometer scale, like in CsPbX3 nanoparticles (NPs). Here, thin films of cesium lead bromide, which show a high PL-QY of 68% and low-threshold ASE at RT, are presented. As-deposited layers are recrystallized by thermal imprint, which results in continuous films (100% coverage of the substrate), composed of large crystals with micrometer lateral extension. Using these layers, the first cesium lead bromide thin-film distributed feedback and vertical cavity surface emitting lasers with ultralow threshold at RT that do not rely on the use of NPs are demonstrated. It is foreseen that these results will have a broader impact beyond perovskite lasers and will advise a revision of the paradigm that efficient light emission from CsPbX3 perovskites can only be achieved with NPs.

SELECTION OF CITATIONS
SEARCH DETAIL
...