Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 1 de 1
Filter
Add more filters










Database
Language
Publication year range
1.
Sci Rep ; 13(1): 5228, 2023 Mar 30.
Article in English | MEDLINE | ID: mdl-36997654

ABSTRACT

Fused silica glass is a material of choice for micromechanical, microfluidic, and optical devices due to its chemical resistance, optical, electrical, and mechanical performance. Wet etching is the key method for fabricating of such microdevices. Protective mask integrity is a big challenge due extremely aggressive properties of etching solution. Here, we propose multilevel microstructures fabrication route based on fused silica deep etching through a stepped mask. First, we provide an analysis of a fused silica dissolution mechanism in buffered oxide etching (BOE) solution and calculate the main fluoride fractions like [Formula: see text], [Formula: see text], [Formula: see text] as a function of pH and NH4F:HF ratio. Then, we experimentally investigate the influence of BOE composition (1:1-14:1) on the mask resistance, etch rate and profile isotropy during deep etching through a metal/photoresist mask. Finally, we demonstrate a high-quality multilevel over-200 µm etching process with the rate up to 3 µm/min, which could be of a great interest for advanced microdevices with flexure suspensions, inertial masses, microchannels, and through-wafer holes.

SELECTION OF CITATIONS
SEARCH DETAIL
...