Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 4 de 4
Filter
Add more filters










Database
Language
Publication year range
1.
Phys Chem Chem Phys ; 25(34): 22952-22964, 2023 Aug 30.
Article in English | MEDLINE | ID: mdl-37593799

ABSTRACT

Atomic layer deposition (ALD) has found significant use in the coating of high-aspect-ratio (HAR) structures. Approaches to model ALD film conformality in HAR structures can generally be classified into diffusion-reaction (DR) models, ballistic transport-reaction (BTR) models and Monte Carlo simulations. This work compares saturation profiles obtained using a DR model and a BTR model. The saturation profiles were compared qualitatively and quantitatively in terms of half-coverage penetration depth, slope at half-coverage penetration depth and adsorption front broadness. The results showed qualitative agreement between the models, except for a section of elevated surface coverage at the end of the structure, 'trunk', observed in the BTR model. Quantitatively, the BTR model produced deeper penetration into the structure, lower absolute values of the slope at half-coverage penetration depth and broader adsorption fronts compared to the DR model. These differences affect the values obtained when extracting kinetic parameters from the saturation profiles.

2.
Phys Chem Chem Phys ; 24(34): 20506-20516, 2022 Aug 31.
Article in English | MEDLINE | ID: mdl-35993759

ABSTRACT

Atomic layer deposition (ALD) was used to deposit a protective overcoating (Al2O3) on an industrially relevant Co-based Fischer-Tropsch catalyst. A trimethylaluminium/water (TMA/H2O) ALD process was used to prepare ∼0.7-2.2 nm overcoatings on an incipient wetness impregnated Co-Pt/TiO2 catalyst. A diffusion-reaction differential equation model was used to predict precursor transport and the resulting deposited overcoating surface coverage inside a catalyst particle. The model was validated against transmission electron (TEM) and scanning electron (SEM) microscopy studies. The prepared model utilised catalyst physical properties and ALD process parameters to estimate achieved overcoating thickness for 20 and 30 deposition cycles (1.36 and 2.04 nm respectively). The TEM analysis supported these estimates, with 1.29 ± 0.16 and 2.15 ± 0.29 nm average layer thicknesses. In addition to layer thickness estimation, the model was used to predict overcoating penetration into the porous catalyst. The model estimated a penetration depth of ∼19 µm, and cross-sectional scanning electron microscopy supported the prediction with a deepest penetration of 15-18 µm. The model successfully estimated the deepest penetration, however, the microscopy study showed penetration depth fluctuation between 0-18 µm, having an average of 9.6 µm.

3.
Phys Chem Chem Phys ; 24(15): 8645-8660, 2022 Apr 13.
Article in English | MEDLINE | ID: mdl-35353098

ABSTRACT

Unparalleled conformality is driving ever new applications for atomic layer deposition (ALD), a thin film growth method based on repeated self-terminating gas-solid reactions. In this work, we re-implemented a diffusion-reaction model from the literature to simulate the propagation of film growth in wide microchannels and used that model to explore trends in both the thickness profile as a function of process parameters and different diffusion regimes. In the model, partial pressure of the ALD reactant was analytically approximated. Simulations were made as a function of kinetic and process parameters such as the temperature, (lumped) sticking coefficient, molar mass of the ALD reactant, reactant's exposure time and pressure, total pressure, density of the grown material, and growth per cycle (GPC) of the ALD process. Increasing the molar mass and the GPC, for example, resulted in a decreasing penetration depth into the microchannel. The influence of the mass and size of the inert gas molecules on the thickness profile depended on the diffusion regime (free molecular flow vs. transition flow). The modelling was compared to a recent slope method to extract the sticking coefficient. The slope method gave systematically somewhat higher sticking coefficient values compared to the input sticking coefficient values; the potential reasons behind the observed differences are discussed.

4.
Phys Chem Chem Phys ; 22(40): 23107-23120, 2020 Oct 21.
Article in English | MEDLINE | ID: mdl-33025987

ABSTRACT

Atomic layer deposition (ALD) raises global interest through its unparalleled conformality. This work describes new microscopic lateral high-aspect-ratio (LHAR) test structures for conformality analysis of ALD. The LHAR structures are made of silicon and consist of rectangular channels supported by pillars. Extreme aspect ratios even beyond 10 000 : 1 enable investigations where the adsorption front does not penetrate to the end of the channel, thus exposing the saturation profile for detailed analysis. We use the archetypical trimethylaluminum (TMA)-water ALD process to grow alumina as a test vehicle to demonstrate the applicability, repeatability and reproducibility of the saturation profile measurement and to provide a benchmark for future saturation profile studies. Through varying the TMA reaction and purge times, we obtained new information on the surface chemistry characteristics and the chemisorption kinetics of this widely studied ALD process. New saturation profile related classifications and terminology are proposed.

SELECTION OF CITATIONS
SEARCH DETAIL
...