Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 20 de 248
Filter
1.
Nanotechnology ; 35(39)2024 Jul 12.
Article in English | MEDLINE | ID: mdl-38955136

ABSTRACT

The performance of organic semiconductor devices with heterojunctions between the organic semiconductors and electrodes can be improved by reducing the contact resistance. In this study, we have developed nanopatterned electrodes that gradually change the impedance at the interface between the metal and organic semiconductor in organic devices, which were fabricated in periodic patterns using nanoimprint lithography. The imprint pattern spacing was changed to control the interface between the metal and organic semiconductor to ensure smooth carrier injection. We analyzed the carrier injection based on the pattern spacing of the electrode interface using electrical current-voltage and capacitance-frequency measurements in the diode. Subsequently, we analyzed the improved current mechanism through numerical simulation. Therefore, this study suggests the possibility of designing the interface of an organic device using the nanostructure between the organic semiconductor and carrier injection electrode.

2.
Nanomaterials (Basel) ; 14(12)2024 Jun 08.
Article in English | MEDLINE | ID: mdl-38921874

ABSTRACT

Nanostructures with sufficiently large areas are necessary for the development of practical devices. Current efforts to fabricate large-area nanostructures using step-and-repeat nanoimprint lithography, however, result in either wide seams or low efficiency due to ultraviolet light leakage and the overflow of imprint resin. In this study, we propose an efficient method for large-area nanostructure fabrication using step-and-repeat nanoimprint lithography with a composite mold. The composite mold consists of a quartz support layer, a soft polydimethylsiloxane buffer layer, and multiple intermediate polymer stamps arranged in a cross pattern. The distance between the adjacent stamp pattern areas is equal to the width of the pattern area. This design combines the high imprinting precision of hard molds with the uniform large-area imprinting offered by soft molds. In this experiment, we utilized a composite mold consisting of three sub-molds combined with a cross-nanoimprint strategy to create large-area nanostructures measuring 5 mm × 30 mm on a silicon substrate, with the minimum linewidth of the structure being 100 nm. Compared with traditional step-and-flash nanoimprint lithography, the present method enhances manufacturing efficiency and generates large-area patterns with seam errors only at the micron level. This research could help advance micro-nano optics, flexible electronics, optical communication, and biomedicine studies.

3.
Nanomaterials (Basel) ; 14(12)2024 Jun 11.
Article in English | MEDLINE | ID: mdl-38921886

ABSTRACT

This research reports the development of 3D carbon nanostructures that can provide unique capabilities for manufacturing carbon nanotube (CNT) electronic components, electrochemical probes, biosensors, and tissue scaffolds. The shaped CNT arrays were grown on patterned catalytic substrate by chemical vapor deposition (CVD) method. The new fabrication process for catalyst patterning based on combination of nanoimprint lithography (NIL), magnetron sputtering, and reactive etching techniques was studied. The optimal process parameters for each technique were evaluated. The catalyst was made by deposition of Fe and Co nanoparticles over an alumina support layer on a Si/SiO2 substrate. The metal particles were deposited using direct current (DC) magnetron sputtering technique, with a particle ranging from 6 nm to 12 nm and density from 70 to 1000 particles/micron. The Alumina layer was deposited by radio frequency (RF) and reactive pulsed DC sputtering, and the effect of sputtering parameters on surface roughness was studied. The pattern was developed by thermal NIL using Si master-molds with PMMA and NRX1025 polymers as thermal resists. Catalyst patterns of lines, dots, and holes ranging from 70 nm to 500 nm were produced and characterized by scanning electron microscopy (SEM) and atomic force microscopy (AFM). Vertically aligned CNTs were successfully grown on patterned catalyst and their quality was evaluated by SEM and micro-Raman. The results confirm that the new fabrication process has the ability to control the size and shape of CNT arrays with superior quality.

4.
Materials (Basel) ; 17(10)2024 May 11.
Article in English | MEDLINE | ID: mdl-38793343

ABSTRACT

Metasurface holograms, with the capability to manipulate spatial light amplitudes and phases, are considered next-generation solutions for holographic imaging. However, conventional fabrication approaches for meta-atoms are heavily dependent on electron-beam lithography (EBL), a technique known for its expensive and time-consuming nature. In this paper, a polarization-insensitive metasurface hologram is proposed using a cost-effective and rapid nanoimprinting method with titanium dioxide (TiO2) nanoparticle loaded polymer (NLP). Based on a simulation, it has been found that, despite a reduction in the aspect ratio of meta-atoms of nearly 20%, which is beneficial to silicon master etching, NLP filling, and the mold release processes, imaging efficiency can go up to 54% at wavelength of 532 nm. In addition, it demonstrates acceptable imaging quality at wavelengths of 473 and 671 nm. Moreover, the influence of fabrication errors and nanoimprinting material degradation in terms of residual layer thickness, meta-atom loss or fracture, thermal-induced dimensional variation, non-uniform distribution of TiO2 particles, etc., on the performance is investigated. The simulation results indicate that the proposed device exhibits a high tolerance to these defects, proving its applicability and robustness in practice.

5.
Nano Lett ; 24(19): 5783-5790, 2024 May 15.
Article in English | MEDLINE | ID: mdl-38695397

ABSTRACT

Nanoimprint lithography is gaining popularity as a cost-efficient way to reproduce nanostructures in large quantities. Recent advances in nanoimprinting lithography using high-index nanoparticles have demonstrated replication of photonic devices, but it is difficult to confer special properties on nanostructures beyond general metasurfaces. Here, we introduce a novel method for fabricating light-emitting metasurfaces using nanoimprinting lithography. By utilizing quantum dots embedded in resin, we successfully imprint dielectric metasurfaces that function simultaneously as both emitters and resonators. This approach to incorporating quantum dots into metasurfaces demonstrates an improvement in photoluminescence characteristics compared to the situation where quantum dots and metasurfaces are independently incorporated. Design of the metasurface is specifically tailored to support photonic modes within the emission band of quantum dots with a large enhancement of photoluminescence. This study indicates that nanoimprinting lithography has the capability to construct nanostructures using functionalized nanoparticles and could be used in various fields of nanophotonic applications.

6.
Sci Bull (Beijing) ; 69(13): 2080-2088, 2024 Jul 15.
Article in English | MEDLINE | ID: mdl-38670852

ABSTRACT

III-nitride materials are of great importance in the development of modern optoelectronics, but they have been limited over years by low light utilization rate and high dislocation densities in heteroepitaxial films grown on foreign substrate with limited refractive index contrast and large lattice mismatches. Here, we demonstrate a paradigm of high-throughput manufacturing bioinspired microstructures on warped substrates by flexible nanoimprint lithography for promoting the light extraction capability. We design a flexible nanoimprinting mold of copolymer and a two-step etching process that enable high-efficiency fabrication of nanoimprinted compound-eye-like Al2O3 microstructure (NCAM) and nanoimprinted compound-eye-like SiO2 microstructure (NCSM) template, achieving a 6.4-fold increase in throughput and 25% savings in economic costs over stepper projection lithography. Compared to NCAM template, we find that the NCSM template can not only improve the light extraction capability, but also modulate the morphology of AlN nucleation layer and reduce the formation of misoriented GaN grains on the inclined sidewall of microstructures, which suppresses the dislocations generated during coalescence, resulting in 40% reduction in dislocation density. This study provides a low-cost, high-quality, and high-throughput solution for manufacturing microstructures on warped surfaces of III-nitride optoelectronic devices.

7.
Nano Lett ; 24(18): 5536-5542, 2024 May 08.
Article in English | MEDLINE | ID: mdl-38657957

ABSTRACT

Electro-optic metasurfaces have demonstrated significant potential in enhancing the modulation speed and efficiency for fast and large-scale free-space optical devices. Barium titanate has a strong electro-optic Pockels coefficient, but its availability in thin-film form is restricted due to costly growth processes or low thickness. Here, we fabricated active metasurfaces using an etch-free bottom-up process with sol-gel-based polycrystalline barium titanate with a large electro-optic coefficient similar to bulk lithium niobate. We achieve strong hybrid Mie/surface lattice resonances with a quality-factor of 200 at 633 nm wavelength, enhancing the light-matter interaction and therefore the Pockels effect. The metasurface transmission is electro-optically modulated with up to 5 MHz driving frequency at low voltages of less than 1 V thanks to resonant enhancement of the modulation amplitude by 2 orders of magnitude. This successful demonstration of electro-optic modulation in nanoimprinted barium titanate structures paves the way for low-cost and large-scale free-space modulators or tunable metalenses.

8.
Gels ; 10(1)2024 Jan 15.
Article in English | MEDLINE | ID: mdl-38247787

ABSTRACT

Microneedles are of great interest in diverse fields, including cosmetics, drug delivery systems, chromatography, and biological sensing for disease diagnosis. Self-dissolving ultrafine microneedles of pure sodium hyaluronate hydrogels were fabricated using a UV-curing TiO2-SiO2 gas-permeable mold polymerized by sol-gel hydrolysis reactions in nanoimprint lithography processes under refrigeration at 5 °C, where thermal decomposition of microneedle components can be avoided. The moldability, strength, and dissolution behavior of sodium hyaluronate hydrogels with different molecular weights were compared to evaluate the suitability of ultrafine microneedles with a bottom diameter of 40 µm and a height of 80 µm. The appropriate molecular weight range and formulation of pure sodium hyaluronate hydrogels were found to control the dissolution behavior of self-dissolving ultrafine microneedles while maintaining the moldability and strength of the microneedles. This fabrication technology of ultrafine microneedles expands their possibilities as a next-generation technique for bioactive gels for controlling the blood levels of drugs and avoiding pain during administration.

9.
Nanomaterials (Basel) ; 13(24)2023 Dec 08.
Article in English | MEDLINE | ID: mdl-38133002

ABSTRACT

Material deformation during nanoimprinting of aluminum (Al), copper (Cu), and gold (Au) was explored through molecular dynamics simulations. A comparative understanding of the deformation behavior of three substrate materials important for design and high-resolution pattern transfer was highlighted. In this study, we analyzed three metrics, including von Mises stresses, lattice deformation, and spring-back for the chosen materials. Of the three materials, the highest average von Mises stress of 7.80 MPa was recorded for copper, while the lowest value of 4.68 MPa was computed for the gold substrate. Relatively higher von Mises stress was observed for all three materials during the mold penetration stages; however, there was a significant reduction during the mold relaxation and retrieval stages. The Polyhedral Template Matching (PTM) method was adopted for studying the lattice dislocation of the materials. Predominantly Body-Centered Cubic (BCC) structures were observed during the deformation process and the materials regained more than 50% of their original Face-Centered Cubic (FCC) structures after mold retrieval. Gold had the lowest vertical spring-back at 6.54%, whereas aluminum had the highest average spring-back at 24.5%. Of the three materials, aluminum had the lowest imprint quality due to its irregular imprint geometry and low indentation depth after the NIL process. The findings of this research lay a foundation for the design and manufacture of Nanoimprint Lithography (NIL) molds for different applications while ensuring that the replicated structures meet the desired specifications and quality standards.

10.
ACS Nano ; 17(22): 22611-22619, 2023 Nov 28.
Article in English | MEDLINE | ID: mdl-37955251

ABSTRACT

The three-dimensional, geometric handedness of chiral optical metamaterials allows for the rotation of linearly polarized light and creates a differential interaction with right and left circularly polarized light, known as circular dichroism. These three-dimensional metamaterials enable polarization control of optical and spin excitation and detection, and their stimuli-responsive, dynamic switching widens applications in chiral molecular sensing and imaging and spintronics; however, there are few reconfigurable solid-state implementations. Here, we report all-solid-state, thermally reconfigurable chiroptical metamaterials composed of arrays of three-dimensional nanoparticle/metal bilayer heterostructures fabricated from coassemblies of phase change VO2 and metallic Au colloidal nanoparticles and thin films of Ni. These metamaterials show dynamic switching in the mid-infrared as VO2 is thermally cycled through an insulator-metal phase transition. The spectral range of operation is tailored in breadth by controlling the periodicity of the arrays and thus the hybridization of optical modes and in position through the mixing of VO2 and Au nanoparticles.

11.
Mater Today Bio ; 23: 100838, 2023 Dec.
Article in English | MEDLINE | ID: mdl-38033369

ABSTRACT

Polymer-based lab-on-a-disc (LoaD) devices for isolating ribonucleic acid (RNA) from whole blood samples have gained considerable attention for accurate biomedical analysis and point-of-care diagnostics. However, the mass production of these devices remains challenging in manufacturing cost and sustainability, primarily due to the utilization of a laser cutter or router computer numerical control (CNC) machine for engraving and cutting plastics in the conventional prototyping process. Herein, we reported the first energy-efficient room-temperature printing-imprinting integrated roll-to-roll manufacturing platform for mass production of a polydimethylsiloxane (PDMS)-based LoaD to on-site isolate ribonucleic acid (RNA) from undiluted blood samples. We significantly reduced energy consumption and eliminated thermal expansion variations between the mold, substrate, and resists by accelerating the PDMS curing time to less than 10 min at room temperature without using heat or ultraviolet radiation. The additive manufacturing technology was applied to fabricate a multi-depth flexible polymer mold that integrated macro (2 mm) and micro-sized (500 µm) features, which overcomes the economic and environmental challenges of conventional molding techniques. Our integrated R2R platform was enabled to print adhesion-promoting films at the first printing unit and continuously in-line imprint with a high replication accuracy (99%) for high-volume manufacturing of a new centrifugal microfluidic chip with an enhancement of mixing performance by integrating an efficient mixing chamber and serpentine micromixer. This research paved the way for scalable green manufacturing of large-volume polymer-based microfluidic devices, often required in real-world sample-driven analytical systems for clinical bioanalysis.

12.
Nanotechnology ; 35(5)2023 Nov 17.
Article in English | MEDLINE | ID: mdl-37883951

ABSTRACT

Nanopatterning complex uneven surface of numerous functional devices to improve their performance is significantly appealing; however, it is extremely challenging. This study proposes a discretely-supported transfer nanoimprint technique to fabricate nanostructures on complex device surfaces containing multi-spatial frequencies. First, a discretely-supported nanoimprint template was designed based on the built energy criterion. A contact fidelity of over 99% was achieved between the designed template and the targeted complex uneven substrate surface. Next, the prefilled nanostructures on the template were transferred to the target surface after contact. By precisely controlling the amount of micro-droplet jetting on the template on-demand, the accumulation of the polymer in the micro-valley sites on the complex substrate was avoided, thus maintaining the morphology and generating function of the devices. Finally, high-quality Fresnel lenses with broadband wide-directional antireflection and excellent imaging performance were developed by imprinting subwavelength-tapered nanostructures on the relief surface.

13.
Heliyon ; 9(9): e20264, 2023 Sep.
Article in English | MEDLINE | ID: mdl-37810064

ABSTRACT

The textured surfaces to reduce light reflectivity by using acid-alkali chemical etching and SiNx films are generally necessary for commercial crystalline silicon solar cells. However, this etching process requires a large amount of environmentally harmful acid-alkali solution and has limited options for texture and size. To overcome these disadvantages, a new anti-reflection strategy is proposed in this study, which is using soft nanoimprint lithography to prepare the textured structures on the outside of the SiNx films. The polyurethane with a high refractive index of 1.64 is selected as the texture material, and different templates are selected to prepare it into different light trapping structures, including positive-inverted pyramids, inverted lace cones, and positive-inverted moth-eye nanostructures allowing for easy customization of the textured structures. The finite element simulation and experiments demonstrate that these light trapping structures have a wide spectrum anti-reflection performance in visible and near-infrared bands. With the back surface of the commercial passivated emitter rear contact (PERC) bi-facial solar cells as the imprint substrates, some light trapping structures can reduce the surface weighted average light reflectivity (Rw) at the band of 300-1200 nm from 18.31% to less than 10% and the optimal structures can reduce Rw to 8.71%. This anti-reflection strategy can also be applied to thin-film solar cells and crystalline silicon solar cells of other structures, such as HIT, Topcon, Perovskite/c-Si tandem, and so forth, which shows great development potential.

14.
Nanotechnology ; 34(50)2023 Oct 04.
Article in English | MEDLINE | ID: mdl-37703872

ABSTRACT

This paper reports a new type of nanoimprinting method called Bi-layer nanoimprinting lithography (BL-NIL), which can work along with metal-assisted chemical etching (MaCE) for fabricating nanostructures on silicon. In contrast to conventional nanoimprinting techniques, BL-NIL adds an interposing layer between the imprinting resist layer and silicon substrate. After the standard imprinting process, dry etching was used to etch away the residual imprinting layer and part of the interposing layer. Finally, the remaining interposing layer was wet-etched using its remover. This innovative approach can ensure cleanliness at the metal/silicon interface after metal lift-off processes, and therefore guarantees the success of MaCE. By combining BL-NIL and MaCE, expensive silicon molds with sub-micrometer/nanometer-scale feature sizes can be easily replicated and preserved. This is important for the application of nanoimprinting technologies in industrial manufacturing.

15.
Micromachines (Basel) ; 14(8)2023 Jul 29.
Article in English | MEDLINE | ID: mdl-37630060

ABSTRACT

Modern optical systems often require small, optically effective structures that have to be manufactured both precisely and cost-effectively. One option to do this is using nanoimprint lithography (NIL), in which the optical structures are replicated as masters using a stamping process. It would also be advantageous to manufacture the master structures quickly and easily. A master manufacturing process based on a photolithographic image of an inkjet-printed mask is presented and investigated in this paper. An essential element is that a deliberate blurring of the printed structure edge of the mask is used in the photolithographic process. Combined with the use of a non-linear photoresist, this allows for improved edge geometries of the master structure. We discuss the inkjet-printed photomask, the custom photolithography system to prevent imaging of the printing dot roughness and the manufacturing processes of NIL polymer masks as well as their subsequent stamp imprinting. Finally, it was shown that stamp geometries with a width of 1.7 µm could be realised using inkjet-printed photomasks in the master manufacturing process. This methodology opens up the potential of fast and simple master manufacturing for the development and manufacturing of optical elements.

16.
Nanomaterials (Basel) ; 13(16)2023 Aug 19.
Article in English | MEDLINE | ID: mdl-37630959

ABSTRACT

Electrochemical and optical platforms are commonly employed in designing biosensors. However, one signal readout can easily lead to inaccuracies due to the effect of nonstandard test procedures, different operators, and experimental environments. We have developed a dual-signal protocol that combined two transducer principles in one aptamer-based biosensor by simultaneously performing electrochemical- and extraordinary optical transmission (EOT)-based plasmonic detection using gold nanopit arrays (AuNpA). Compared with full hole structures, we found that nanopits, that did not fully penetrate the gold film, not only exhibited a better plasmonic bandwidth and refractive index sensitivity both in the finite-difference time-domain simulation and in experiments by shielding the gold/quartz mode but also enlarged the electrochemical active surface area. Therefore, the periodic non-fully penetrating AuNpA were modified with ferrocene-labeled human serum albumin aptamer receptors. The formation of the receptor layer and human serum albumin binding complex induced a conformational change, which resulted in variation in the electron transfer between the electro-active ferrocene units and the AuNpA surface. Simultaneously, the binding event caused a surface plasmon polaritons wavelength shift corresponding to a change in the surface refractive index. Interestingly, although both transducers recorded the same binding process, they led to different limits of detection, dynamic ranges, and sensitivities. The electrochemical transducer showed a dynamic detection range from 1 nM to 600 µM, while the optical transducer covered high concentrations from 100 µM to 600 µM. This study not only provides new insights into the design of plasmonic nanostructures but also potentially opens an exciting avenue for dual-signal disease diagnosis and point-of-care testing applications.

17.
Nano Lett ; 23(16): 7477-7484, 2023 08 23.
Article in English | MEDLINE | ID: mdl-37526201

ABSTRACT

Lithographic nanopatterning techniques such as photolithography, electron-beam lithography, and nanoimprint lithography (NIL) have revolutionized modern-day electronics and optics. Yet, their application for creating nanobio interfaces is limited by the cytotoxic and two-dimensional nature of conventional fabrication methods. Here, we present a biocompatible and cost-effective transfer process that leverages (a) NIL to define sub-300 nm gold (Au) nanopattern arrays, (b) amine functionalization of Au to transfer the NIL-arrays from a rigid substrate to a soft transfer layer, (c) alginate hydrogel as a flexible, degradable transfer layer, and (d) gelatin conjugation of the Au NIL-arrays to achieve conformal contact with live cells. We demonstrate biotransfer printing of the Au NIL-arrays on rat brains and live cells with high pattern fidelity and cell viability and observed differences in cell migration on the Au NIL-dot and NIL-wire printed hydrogels. We anticipate that this nanolithography-compatible biotransfer printing method could advance bionics, biosensing, and biohybrid tissue interfaces.


Subject(s)
Gold , Tattooing , Cell Movement , Printing, Three-Dimensional
18.
Nanomaterials (Basel) ; 13(14)2023 Jul 08.
Article in English | MEDLINE | ID: mdl-37513042

ABSTRACT

Micro- and nanopatterns perform unique functions and have attracted attention in various industrial fields, such as electronic devices, microfluidics, biotechnology, optics, sensors, and smart and anti-adhesion surfaces. To put fine-patterned products to practical use, low-cost patterning technology is necessary. Nanoimprint lithography (NIL) is a promising technique for high-throughput nanopattern fabrication. In particular, thermal nanoimprint lithography (T-NIL) has the advantage of employing flexible materials and eliminating chemicals and solvents. Moreover, T-NIL is particularly suitable for compostable and recyclable materials, especially when applying biobased materials for use in optics and electronics. These attributes make T-NIL an eco-friendly process. However, the processing time of normal T-NIL is longer than that of ultraviolet (UV) NIL using a UV-curable resin because the T-NIL process requires heating and cooling time. Therefore, many studies focus on improving the throughput of T-NIL. Specifically, a T-NIL process based on a roll-to-roll web system shows promise for next-generation nanopatterning techniques because it enables large-area applications with the capability to process webs several meters in width. In this review, the T-NIL process, roll mold fabrication techniques, and various materials are introduced. Moreover, metal pattern transfer techniques using a combination of nanotransfer printing, T-NIL, and a reverse offset are introduced.

19.
Polymers (Basel) ; 15(13)2023 Jun 30.
Article in English | MEDLINE | ID: mdl-37447554

ABSTRACT

Micro- and nanofabrication on polymer substrate is integral to the development of flexible electronic devices, including touch screens, transparent conductive electrodes, organic photovoltaics, batteries, and wearable devices. The demand for flexible and wearable devices has spurred interest in large-area, high-throughput production methods. Roll-to-roll (R2R) nanoimprint lithography (NIL) is a promising technique for producing nano-scale patterns rapidly and continuously. However, bending in a large-scale R2R system can result in non-uniform force distribution during the imprinting process, which reduces pattern quality. This study investigates the effects of R2R imprinting module geometry parameters on force distribution via simulation, using grey relational analysis to identify optimal parameter levels and ANOVA to determine the percentage of each parameter contribution. The study also investigates the length and force ratio on a backup roller used for bending compensation. The simulation results and the artificial neural network (ANN) model enable the prediction of nip pressure and force distribution non-uniformity along the roller, allowing the selection of the optimal roller geometry and force ratio for minimal non-uniformity on a specific R2R system. An experiment was conducted to validate the simulation results and ANN model.

20.
Nanotechnology ; 34(44)2023 Aug 16.
Article in English | MEDLINE | ID: mdl-37494897

ABSTRACT

Semiconductor nanowires (NWs) in horizontal configuration could provide a path for scalable NW-based devices. Bottom-up large-scale manufacturing of these nanostructures by selective area epitaxy (SAE) relies on precise nanopatterning of various shapes on the growth masks. Electron beam lithography offers an extraordinary accuracy suited for the purpose. However, this technique is not economically viable for large production as it has a low throughput and requires high investment and operational costs. Nanoimprint lithography (NIL) has the potential to reduce fabrication time and costs significantly while requiring less sophisticated equipment. In this work, we utilize both thermal and UV NIL for patterning substrates for SAE, elucidating the advantages and disadvantages of each lithography technique. We demonstrate the epitaxial growth of Ge and GaAs NWs on these substrates, where we observe high-quality mono-crystalline structures. Even though both processes can produce small uniform structures suitable for SAE, our results show that UV NIL proves to be superior and enables reliable and efficient patterning of sub-100 nm mask features at the wafer scale.

SELECTION OF CITATIONS
SEARCH DETAIL
...