Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 15 de 15
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
Nanoscale ; 16(17): 8618-8626, 2024 May 02.
Artigo em Inglês | MEDLINE | ID: mdl-38606468

RESUMO

The self-assembly of thin films of block copolymers (BCPs) with perpendicular domain orientation offers a promising approach for nanopatterning on a variety of substrates, which is required by advanced applications such as ultrasmall transistors in integrated circuits, nanopatterned materials for tissue engineering, and electrocatalysts for fuel cell applications. In this study, we created BCPs with an A-b-(B-r-C) architecture that have blocks with equal surface energy (γair) and that can bind to the substrate, effectively creating a non-preferential substrate coating via self-brushing that enables the formation of through-film perpendicular domains in thin films of BCPs. We employed a thiol-epoxy click reaction to functionalize polystyrene-block-poly(glycidyl methacrylate) with a pair of thiols to generate an A-b-(B-r-C) BCP and tune γair of the B-r-C block. The secondary hydroxyl and thiol ether functionality generated by the click reaction was utilized to bind the BCP to the substrates. Scanning electron microscopy revealed that perpendicular orientation was achieved by simply annealing a thin film of the BCP on the bare substrate without the usual extra step of coating a random copolymer brush on the substrate. The self-brushing capability of the BCP was also examined on gold, platinum, titanium, aluminum nitride, and silicon nitride surfaces. These results demonstrate that self-brushing is a promising approach for achieving perpendicular domain orientation in thin films of BCP for nanopatterning on a variety of useful surfaces.

2.
Langmuir ; 39(41): 14688-14698, 2023 Oct 17.
Artigo em Inglês | MEDLINE | ID: mdl-37782843

RESUMO

To form nanopatterns with self-assembled block copolymers (BCPs), it is desirable to have through-film domains that are oriented perpendicular to the substrate. The domain orientation is determined by the interfacial interactions of the BCP domains with the substrate and with the free surface. Here, we use thin films of two different sets of BCPs with A-block-(B-random-C) architecture matched with a corresponding B-random-C copolymer nanocoating on the substrate to demonstrate two distinct wetting behaviors. The two sets of A-b-(B-r-C) BCPs are made by using thiol-epoxy click chemistry to functionalize polystyrene-block-poly(glycidyl methacrylate) with trifluoroethanethiol (TFET) and either 2-mercaptopyridine (2MP) or methyl thioglycolate (MTG). For each set of BCPs, the composition ratio of the two thiols in the BCP (φ1) is found that results in the two blocks of the modified BCP having equal surface energies (Δγair = 0). The corresponding B-r-C random copolymers were synthesized and used to modify the substrate, and the composition ratio (φ2) values that resulted in the two blocks of the BCP having equal interfacial energy with the substrate (Δγsub = 0) were determined with scanning electron microscopy. The correlation between each block's γsub value and the interaction parameter, χ, is employed to explain the different wetting behaviors of the two sets of BCPs. For the thiol pair 2MP and TFET, the values of φ1 and φ2 that lead to Δγair = 0 and Δγsub = 0, respectively, are significantly different. A similar difference was observed between the φ1 and φ2 values that lead to Δγair = 0 and Δγsub = 0 for the BCPs made with the thiol pair MTG and TFET. In the latter case, for Δγsub = 0 two windows of φ2 are identified, which can be explained by the thermodynamic interactions of the specific thiol pair and the A-b-(B-r-C) architecture.

3.
Nat Mater ; 21(12): 1426-1433, 2022 12.
Artigo em Inglês | MEDLINE | ID: mdl-36357686

RESUMO

The ability to impart multiple covarying properties into a single material represents a grand challenge in manufacturing. In the design of block copolymers (BCPs) for directed self-assembly and nanolithography, materials often balance orthogonal properties to meet constraints related to processing, structure and defectivity. Although iterative synthesis strategies deliver BCPs with attractive properties, identifying materials with all the required attributes has been difficult. Here we report a high-throughput synthesis and characterization platform for the discovery and optimization of BCPs with A-block-(B-random-C) architectures for lithographic patterning in semiconductor manufacturing. Starting from a parent BCP and using thiol-epoxy 'click' chemistry, we synthesize a library of BCPs that cover a large and complex parameter space. This allows us to readily identify feature-size-dependent BCP chemistries for 8-20-nm-pitch patterns. These blocks have similar surface energies for directed self-assembly, and control over the segregation strength to optimize the structure (favoured at higher segregation strengths) and defectivity (favoured at lower segregation strengths).


Assuntos
Polímeros , Projetos de Pesquisa , Semicondutores , Compostos de Sulfidrila
4.
ACS Appl Mater Interfaces ; 13(34): 41190-41199, 2021 Sep 01.
Artigo em Inglês | MEDLINE | ID: mdl-34470104

RESUMO

Directed self-assembly (DSA) of block copolymers is one of the most promising patterning techniques for patterning sub-10 nm features. However, at such small feature sizes, it is becoming increasingly difficult to fabricate the guiding pattern for the DSA process, and it is necessary to explore alternative guiding methods for DSA to achieve long-range ordered alignment. Here, we report the self-aligned assembly of a triblock copolymer, poly(2-vinylpyridine)-b-polystyrene-b-poly(2-vinylpyridine) (P2VP-b-PS-b-P2VP) on neutral graphene nanoribbons with the gap consisting of a P2VP-preferential silicon oxide (SiO2) substrate via solvent vapor annealing. The assembled P2VP-b-PS-b-P2VP demonstrated long-range, one-dimensional alignment on the graphene substrate in a direction perpendicular to the boundary of the graphene and substrate with a half-pitch size of 8 nm, which greatly alleviates the lithography resolution required for traditional chemoepitaxy DSA. A wide processing window is demonstrated with the gap between graphene stripes varying from 10 to 100 nm, overcoming the restriction on widths of guiding patterns to have commensurate domain spacing. When the gap was reduced to 10 nm, P2VP-b-PS-b-P2VP formed a straight-line pattern on both the graphene and the substrate. Monte Carlo simulations showed that the self-aligned assembly of the triblock copolymer on the graphene nanoribbons is guided at the boundary of parallel and perpendicular lamellae on graphene and SiO2, respectively. Simulations also indicate that the swelling of a system allows for rapid rearrangement of chains and quickly anneal any misaligned grains and defects. The effect of the interaction strength between SiO2 and P2VP on the self-assembly is systematically investigated in simulations.

5.
Sci Adv ; 6(24): eaaz0002, 2020 Jun.
Artigo em Inglês | MEDLINE | ID: mdl-32582846

RESUMO

Three-dimensional (3D) structures at the nanometer length scale play a crucial role in modern devices, but their fabrication using traditional top-down approaches is complex and expensive. Analogous to atomic lattices, block copolymers (BCPs) spontaneously form a rich variety of 3D nanostructures and have the potential to substantially simplify 3D nanofabrication. Here, we show that the 3D superlattice formed by BCP micelles can be controlled by lithographically defined 2D templates matching a crystallographic plane in the 3D superlattice. Using scanning transmission electron microscopy tomography, we demonstrate precise control over the lattice symmetry and orientation. Excellent ordering and substrate registration can be achieved, propagating through 284-nanometer-thick films. BCP epitaxy also showed exceptional lattice tunability, with a continuous Bain transformation from a body-centered cubic to a face-centered cubic lattice. Lattice stability was mediated by molecular packing frustration, and surface-induced lattice reconstruction was observed, leading to the formation of a unique honeycomb lattice.

6.
ACS Nano ; 14(6): 6980-6989, 2020 Jun 23.
Artigo em Inglês | MEDLINE | ID: mdl-32459464

RESUMO

Studies have demonstrated that the thermal conductivity (κ) of crystalline semiconductor materials can be reduced by phonon scattering in periodic nanostructures formed using templates fabricated from self-assembled block copolymers (BCPs). Compared to crystalline materials, the heat transport mechanisms in amorphous inorganic materials differ significantly and have been explored far less extensively. However, thermal management of amorphous inorganic solids is crucial for a broad range of semiconductor devices. Here we present the fabrication of freestanding amorphous silicon nitride (SiNx) membranes for studying κ in an amorphous solid. To form a periodic nanostructure, directed self-assembly of cylinder-forming BCPs is used to pattern in the SiNx highly ordered, hexagonally close packed nanopores with pitch and neck width down to 37.5 and 12 nm, respectively. The κ of the nanoporous SiNx membranes is 60% smaller than the classically predicted value based on just the membrane porosity. In comparison, holes with much larger neck widths and pitches patterned by e-beam lithography lead to only a slight reduction in κ, which is closer to the classical porosity-based prediction. These results demonstrate that κ of amorphous SiNx can be reduced by introducing periodic nanostructures that behave as a phononic crystal, where the relationship between the smallest dimension of the nanostructure and the length scale of the mean-free paths of the dominant, heat-carrying phonons is critical. Additionally, changing the orientation of the hexagonal array of nanopores relative to the primary direction of heat flow has a smaller impact on amorphous SiNx than was previously observed in silicon.

7.
Soft Matter ; 15(48): 9991-9996, 2019 Dec 11.
Artigo em Inglês | MEDLINE | ID: mdl-31755518

RESUMO

We report on the use of a selective, non-volatile ionic liquid (IL) to enhance the self-assembly via solvent annealing of a low molecular weight block copolymer (BCP) of styrene and 2-vinylpyridine (2VP) suitable for generating sub-10 nm features. Diblock and triblock copolymers of different molecular weights of styrene and 2VP are individually blended with the IL and then solvent annealed in acetone, a non-preferential solvent for the BCPs. Differential scanning calorimetry indicates that the IL selectively resides in the 2VP block of the BCP, resulting in a decrease of the block's Tg and an increase of the effective Flory-Huggins parameter (χeff) of the BCP. The influence of the IL on the non-preferential window of a random copolymer brush used to treat the substrate for self-assembly of the BCPs is also analyzed. Well-defined lamellar patterns form when the optimal weight ratio of IL (∼1%) is added to the BCPs. A detailed analysis of the orientational correlation length and pitch size of the BCPs quantitatively shows that the addition of the IL enhanced the microphase separation of the low molecular weight version of the BCP. Subsequent treatment of the self-assembled BCP with sequential infiltration synthesis yields sub-10 nm AlOx lines.

8.
Nanotechnology ; 30(45): 455302, 2019 Nov 08.
Artigo em Inglês | MEDLINE | ID: mdl-31342916

RESUMO

Directed self-assembly of block copolymers and self-aligned double patterning are two commonly used pitch scaling techniques to increase the density of lithographic features. In this work, both of these pitch scaling techniques were combined, enabling patterning at even higher densities. In this process, directed self-assembly of a high-χ block copolymer was used to form a line/space pattern, which served as a template for mandrels. Via these mandrels, atomic layer deposition was used to deposit a thin aluminium oxide spacer. By this method, a total pitch scaling factor of 8, equivalent to a 10.5 nm full pitch, was reached. The types of defects and the line roughness at the different steps of the process were discussed.

9.
ACS Appl Mater Interfaces ; 10(27): 23414-23423, 2018 Jul 11.
Artigo em Inglês | MEDLINE | ID: mdl-29878751

RESUMO

Directed self-assembly (DSA) of block copolymers (BCPs) can achieve perfectly aligned structures at thermodynamic equilibrium, but the self-assembling morphology can become kinetically trapped in defective states. Understanding and optimizing the kinetic pathway toward domain alignment is crucial for enhancing process throughput and lowering defectivity to levels required for semiconductor manufacturing, but there is a dearth of experimental, three-dimensional studies of the kinetic pathways in DSA. Here, we combined arrested annealing and TEM tomography to probe the kinetics and structural evolution in the chemoepitaxy DSA of PS- b-PMMA with density multiplication. During the initial stages of annealing, BCP domains developed independently at first, with aligned structures at the template interface and randomly oriented domains at the top surface. As the grains coarsened, the assembly became cooperative throughout the film thickness, and a metastable stitch morphology was formed, representing a kinetic barrier. The stitch morphology had a three-dimensional structure consisting of both perpendicular and parallel lamellae. On the basis of the mechanistic information, we studied the effect of key design parameters on the kinetics and evolution of structures in DSA. Three types of structural evolutions were observed at different film thicknesses: (1) immediate alignment and fast assembly when thickness < L0 ( L0 = BCP natural periodicity); (2) formation of stitch morphology for 1.25-1.45 L0; (3) fingerprint formation when thickness >1.64 L0. We found that the DSA kinetics can be significantly improved by avoiding the formation of the metastable stitch morphology. Increasing template topography also enhanced the kinetics by increasing the PMMA guiding surface area. A combination of 0.75 L0 BCP thickness and 0.50 L0 template topography achieved perfect alignment over 100 times faster than the baseline process. This research demonstrates that an improved understanding of the evolution of structures during DSA can significantly improve the DSA process.

10.
ACS Appl Mater Interfaces ; 10(19): 16747-16759, 2018 May 16.
Artigo em Inglês | MEDLINE | ID: mdl-29667409

RESUMO

Polystyrene- block-poly(methyl methacrylate) (PS- b-PMMA) is one of the prototypical block copolymers in directed self-assembly (DSA) research and development, with standardized protocols in place for processing on industrially relevant 300 mm wafers. Scaling of DSA patterns to pitches below 20 nm using PS- b-PMMA, however, is hindered by the relatively low Flory-Huggins interaction parameter, χ. Here, we investigate the approach of adding small amounts of ionic liquids (ILs) into PS- b-PMMA, which selectively segregates into the PMMA domain and effectively increases the χ parameter and thus the pattern resolution. The amount of IL additive is small enough to result in limited changes in PS- b-PMMA's surface and interfacial properties, thus maintaining industry-friendly processing by thermal annealing with a free surface. Three different ILs are studied comparatively regarding their compositional process window, capability of increasing χ, and thermal stability. By adding ∼3.1 vol % of the champion IL into a low-molecular-weight PS- b-PMMA ( Mn = 10.3k- b-9.5k), we demonstrated DSA on chemically patterned substrates of lamellar structures with feature sizes <8.5 nm. Compatibility of the PS- b-PMMMA/IL blends with the standardized processes that have been previously developed suggests that such blend materials could provide a drop-in solution for sub-10 nm lithography with the processing advantages of PS- b-PMMA.

11.
ACS Nano ; 10(8): 7855-65, 2016 08 23.
Artigo em Inglês | MEDLINE | ID: mdl-27482932

RESUMO

Directed self-assembly (DSA) of block copolymers (BCPs) is a leading strategy to pattern at sublithographic resolution in the technology roadmap for semiconductors and is the only known solution to fabricate nanoimprint templates for the production of bit pattern media. While great progress has been made to implement block copolymer lithography with features in the range of 10-20 nm, patterning solutions below 10 nm are still not mature. Many BCP systems self-assemble at this length scale, but challenges remain in simultaneously tuning the interfacial energy atop the film to control the orientation of BCP domains, designing materials, templates, and processes for ultra-high-density DSA, and establishing a robust pattern transfer strategy. Among the various solutions to achieve domains that are perpendicular to the substrate, solvent annealing is advantageous because it is a versatile method that can be applied to a diversity of materials. Here we report a DSA process based on chemical contrast templates and solvent annealing to fabricate 8 nm features on a 16 nm pitch. To make this possible, a number of innovations were brought in concert with a common platform: (1) assembling the BCP in the phase-separated, solvated state, (2) identifying a larger process window for solvated triblock vs diblock BCPs as a function of solvent volume fraction, (3) employing templates for sub-10-nm BCP systems accessible by lithography, and (4) integrating a robust pattern transfer strategy by vapor infiltration of organometallic precursors for selective metal oxide synthesis to prepare an inorganic hard mask.

12.
Soft Matter ; 12(11): 2914-22, 2016 Mar 21.
Artigo em Inglês | MEDLINE | ID: mdl-26891026

RESUMO

We report a study on directed self-assembly (DSA) with solvent annealing to induce the formation of non-bulk block copolymer microdomains on chemical patterns. Ultrathin films of symmetric polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) display morphologies of PMMA dots, stripes, and PS hexagons with increasing exposure time to acetone vapor, a PMMA-selective solvent. All three nanostructures form long-range-ordered and registered arrays on striped chemical patterns with periods (LS) commensurate to the solvated PS-b-PMMA microdomain period (L0,s). Solvent annealing is shown to facilitate DSA on non-regular chemical patterns, on which the local periods are incommensurate to L0,s. DSA with feature density multiplication, via solvent annealing, is also demonstrated.

13.
ACS Nano ; 5(7): 5673-82, 2011 Jul 26.
Artigo em Inglês | MEDLINE | ID: mdl-21661763

RESUMO

Ternary blends of cylinder-forming polystyrene-block-poly(methyl methacrylate) (PS-b-PMMA) and low molecular weight PS and PMMA were directed to assemble on chemically patterned surfaces with hexagonal symmetry. The chemical patterns consisted of strongly PMMA preferential spots, patterned by electron-beam lithography, in a matrix of PS. The spot-to-spot spacing of the chemical patterns (L(s)) was varied between 0.9L(0) and 1.1L(0), where L(0) is the cylinder-to-cylinder spacing of the pure block copolymer in bulk. The homopolymer volume fraction of the blends (ϕ(H)) was varied between 0 and 0.3. In addition, chemical patterns were formed with selected spots missing from the perfect hexagonal array, such that the interpolation of domains between patterned spots could be examined on patterns where the polymer/pattern feature density ranged from 1:1 to 4:1. The assemblies were analyzed with top-down SEM, from which orientational order parameter (OP(o)) values were determined. The SEM analysis was complemented by Monte Carlo simulations, which offered insights into the shapes of the assembled cylindrical domains. It was found that, in comparison to pure block copolymer, adding homopolymer increased the range of L(s) values over which assemblies with high OP(o) values could be achieved for 1:1 assemblies. However, the corresponding simulations showed that in the 1:1 assemblies the shape of the cylinders was more uniform for pure block copolymer than for blends. In the case of the 4:1 assemblies, the range of L(s) values over which assemblies with high OP(o) values could be achieved was the same for all values of ϕ(H) tested, but the domains of the pure block copolymer had a more uniform shape. Overall, the results provided insights into the blend composition to be used to meet technological requirements for directed assembly with density multiplication.


Assuntos
Metacrilatos/química , Nanotecnologia/métodos , Poliestirenos/química , Peso Molecular , Método de Monte Carlo , Propriedades de Superfície
14.
Nanotechnology ; 21(49): 495301, 2010 Dec 10.
Artigo em Inglês | MEDLINE | ID: mdl-21071827

RESUMO

Ternary blends of cylinder-forming polystyrene-block-poly(methyl methacrylate) block copolymers and polystyrene and poly(methyl methacrylate) homopolymers were assembled in trench features of constant width. Increasing the fraction of homopolymer in the blend increased the spacing and size of block copolymer domains, which were oriented perpendicular to the substrate to form a hexagonal lattice within the trench. The number of rows of cylinders within the trench was controlled by the blend composition. Depending on the domain size and spacing, the hexagonal lattice was stretched or compressed perpendicular to the trench walls but not perturbed parallel to the walls, indicating a decoupling of the perturbation in the perpendicular and parallel directions. The row spacing was uniform across the trench as a function of position from the trench wall. The results are compared with an analytical model and with Monte Carlo simulations.


Assuntos
Metacrilatos/síntese química , Poliestirenos/síntese química , Simulação por Computador , Metacrilatos/química , Microscopia Eletrônica de Varredura , Poliestirenos/química
15.
Langmuir ; 23(17): 9037-45, 2007 Aug 14.
Artigo em Inglês | MEDLINE | ID: mdl-17645358

RESUMO

Directed assembly of fine-scale, very complex patterns with a variety of features, including terminations, jogs, disclinations, acute and obtuse bends, and sharp radii of curvature, was achieved with a symmetric poly(styrene-block-methylmethacrylate) (PS-b-PMMA) copolymer. The complex pattern was generated spontaneously by spin coating and annealing a thin film of a lamellae-forming block copolymer on a chemically neutral surface. The resulting "fingerprint" pattern had a domain spacing of 47.5 nm. Oxygen plasma treatment of the block copolymer converted it into an insoluble chemical nanopattern that was quantified by XPS, goniometry, and the wetting behavior of the block copolymer. Spin coating a second thin film of the block copolymer and annealing resulted in directed assembly that replicated the fingerprint pattern, including the most complicated defect structures. A computer vision algorithm was developed and implemented to compare the patterns quantitatively, taking into account inherent differences in image contrast, scale, rotation, and translation.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...