Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 1 de 1
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
ACS Appl Mater Interfaces ; 6(7): 5199-205, 2014 Apr 09.
Artigo em Inglês | MEDLINE | ID: mdl-24641256

RESUMO

We investigated effects of the sputtered La-capping layer inserted between TiN and Hf-based dielectrics, HfO2 and HfSiO4/HfO2, mainly focusing on effective work function (EWF) and equivalent oxide thickness (EOT) changes by modulation of its thickness and post-metal annealing (PMA). The use of thin La capping up to 5 Å showed a linear flatband voltage (V(FB)) shift of -60 mV/Å, regardless of gate dielectrics. However, with the increase of the La thickness, a slight increase in EOT was observed for HfO2, whereas a negligible change in EOT was shown for the HfSiO4/HfO2 bilayer. It might be ascribed to the facile La oxidation, which acts as an additional oxide layer on both of the gate dielectrics. Meanwhile, high-temperature PMA exhibited slight reduction in V(FB) as well as an EOT increase for both of the Hf-based dielectrics. On the basis of X-ray photoelectron spectroscopy (XPS) results, the reason for the slightly decreased EWF resulted from two competing dipoles formed by movements of oxygen vacancies (V(O)) and La atoms during the PMA. Additionally, oxygen affinity and diffusion of the La-capping layer on both of the gate dielectrics are further discussed in conjunction with thermodynamic analyses, and thereby, schematic energy band diagrams were proposed by taking into account competing dipole layers by VO movement and La diffusion.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...