Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 35
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
ACS Appl Mater Interfaces ; 14(4): 5514-5524, 2022 Feb 02.
Artigo em Inglês | MEDLINE | ID: mdl-35073690

RESUMO

Extreme ultraviolet (EUV)-induced radiation exposure chemistry in organotin-oxo systems, represented by the archetypal [(R-Sn)12O14(OH)6](A)2 cage, has been investigated with density functional theory. Upholding existing experimental evidence of Sn-C cleavage-dominant chemistry, computations have revealed that either electron attachment or ionization can single-handedly trigger tin-carbon bond cleavage, partially explaining the current EUV sensitivity advantage of metal oxide systems. We have revealed that tin atoms at different parts of the molecule react differently to ionization and electron attachment and have identified such selectivity as a result of local coordination chemistry instead of the macro geometry of the molecule. An ionization-deprotonation pathway has also been identified to explain the observed evolution of an anion conjugate acid upon exposure and anion mass dependence in resist sensitivity.

2.
ACS Appl Mater Interfaces ; 13(7): 9081-9090, 2021 Feb 24.
Artigo em Inglês | MEDLINE | ID: mdl-33471496

RESUMO

The combination of area-selective deposition (ASD) with a patternable organic monolayer provides a versatile additive lithography platform, enabling the generation of a variety of nanoscale feature geometries. Stearate hydroxamic acid self-assembled monolayers (SAMs) were patterned with extreme ultraviolet (λ = 13.5 nm) or electron beam irradiation and developed with ASD to achieve line space patterns as small as 50 nm. Density functional theory was employed to aid in the synthesis of hydroxamic acid derivatives with optimized packing density to enhance the imaging contrast and improve dose sensitivity. Near-edge X-ray absorption fine structure spectroscopy and infrared spectroscopy reveal that the imaging mechanism is based on improved deposition inhibition provided by the cross-linking of the SAM to produce a more effective barrier during a subsequent deposition step. With patterned substrates composed of coplanar copper lines and silicon spacers, hydroxamic acids selectively formed monolayers on the metal portions and could undergo a pattern-wise exposure followed by ASD in the first combination of a patternable monolayer with ASD. This material system presents an additional capability compared to traditional ASD approaches that generally reflect a starting patterned surface. Furthermore, this bottoms-up additive approach to lithography may be a viable alternative to subtractive nanoscale feature generation.

3.
Opt Express ; 28(24): 35898-35909, 2020 Nov 23.
Artigo em Inglês | MEDLINE | ID: mdl-33379696

RESUMO

It is challenging to obtain nanoscale resolution images in a single ultrafast shot because a large number of photons, greater than 1011, are required in a single pulse of the illuminating source. We demonstrate single-shot high resolution Fourier transform holography over a broad 7 µm diameter field of view with ∼ 5 ps temporal resolution. The experiment used a plasma-based soft X-ray laser operating at 18.9 nm wavelength with nearly full spatial coherence and close to diffraction-limited divergence implemented utilizing a dual-plasma amplifier scheme. A Fresnel zone plate with a central aperture is used to efficiently generate the object and reference beams. Rapid numerical reconstruction by a 2D Fourier transform allows for real-time imaging. A half-pitch spatial resolution of 62 nm was obtained. This single-shot nanoscale-resolution imaging technique will allow for real-time ultrafast imaging of dynamic phenomena in compact setups.

4.
Sci Rep ; 10(1): 11673, 2020 Jul 15.
Artigo em Inglês | MEDLINE | ID: mdl-32669602

RESUMO

We demonstrate a method for characterizing the field-dependent aberrations of a full-field synchrotron-based extreme ultraviolet microscope. The statistical uniformity of the inherent, atomic-scale roughness of readily-available photomask blanks enables a self-calibrating computational procedure using images acquired under standard operation. We characterize the aberrations across a 30-um field-of-view, demonstrating a minimum aberration magnitude of smaller than [Formula: see text] averaged over the center 5-um area, with a measurement accuracy better than [Formula: see text]. The measured field variation of aberrations is consistent with system geometry and agrees with prior characterizations of the same system. In certain cases, it may be possible to additionally recover the illumination wavefront from the same images. Our method is general and is easily applied to coherent imaging systems with steerable illumination without requiring invasive hardware or custom test objects; hence, it provides substantial benefits when characterizing microscopes and high-resolution imaging systems in situ.

5.
J Chem Phys ; 149(15): 154305, 2018 Oct 21.
Artigo em Inglês | MEDLINE | ID: mdl-30342450

RESUMO

New photoresists are needed to advance extreme ultraviolet (EUV) lithography. The tailored design of efficient photoresists is enabled by a fundamental understanding of EUV induced chemistry. Processes that occur in the resist film after absorption of an EUV photon are discussed, and a new approach to study these processes on a fundamental level is described. The processes of photoabsorption, electron emission, and molecular fragmentation were studied experimentally in the gas-phase on analogs of the monomer units employed in chemically amplified EUV resists. To demonstrate the dependence of the EUV absorption cross section on selective light harvesting substituents, halogenated methylphenols were characterized employing the following techniques. Photoelectron spectroscopy was utilized to investigate kinetic energies and yield of electrons emitted by a molecule. The emission of Auger electrons was detected following photoionization in the case of iodo-methylphenol. Mass-spectrometry was used to deduce the molecular fragmentation pathways following electron emission and atomic relaxation. To gain insight on the interaction of emitted electrons with neutral molecules in a condensed film, the fragmentation pattern of neutral gas-phase molecules, interacting with an electron beam, was studied and observed to be similar to EUV photon fragmentation. Below the ionization threshold, electrons were confirmed to dissociate iodo-methylphenol by resonant electron attachment.

6.
Appl Opt ; 57(7): 1724-1730, 2018 Mar 01.
Artigo em Inglês | MEDLINE | ID: mdl-29522026

RESUMO

Given the reflective nature of extreme ultraviolet lithography and its extremely short operational wavelength, roughness of the optical surfaces is of significant concern. In particular, roughness in the mask multilayer leads to image plane speckle and ultimately patterned line-edge or line-width variability in the imaging process. Here we consider the implications of this effect for future high numerical aperture (NA) systems that are assumed to require anamorphic magnification projection optics. The results show significant anisotropic behavior at high NA as well as a substantial increase in relative patterned line variability in the shadowed direction when comparing 0.55 NA to 0.33 NA, despite the assumption of an anamorphic magnification system. The shadowed-direction patterned line variability is 2× larger than for unshadowed lines, and the majority of the increase in variability occurs in the low frequency regime.

7.
J Chem Phys ; 146(16): 164106, 2017 Apr 28.
Artigo em Inglês | MEDLINE | ID: mdl-28456207

RESUMO

In order to increase computation power and efficiency, the semiconductor industry continually strives to reduce the size of features written using lithographic techniques. The planned switch to a shorter wavelength extreme ultraviolet (EUV) source presents a challenge for the associated photoresists, which in their current manifestation show much poorer photoabsorption cross sections for the same dose. Here we consider the critical role that an inner-shell electronic structure might play in enhancing photoabsorption cross sections, which one can control by the choice of substituent elements in the photoresist. In order to increase the EUV sensitivity of current photoresists, it is critical to consider the inner-shell atomic structure of the elements that compose the materials. We validate this hypothesis using a series of halogenated organic molecules, which all have similar valence structures, but differ in the character of their semi-core and deep valence levels. Using various implementations of time-dependent density functional theory, the absorption cross sections are computed for the model systems of CH3X, X = H, OH, F, Cl, Br, I, as well as a representative polymer fragment: 2-methyl-phenol and its halogenated analogues. Iodine has a particularly high cross section in the EUV range, which is due to delayed absorption by its 4d electrons. The computational results are compared to standard database values and experimental data when available. Generally we find that the states that dominate the EUV oscillator strength are generated by excitations of deep valence or semi-core electrons, which are primarily atomic-like and relatively insensitive to the specific molecular structure.

8.
Appl Opt ; 56(12): 3325-3328, 2017 Apr 20.
Artigo em Inglês | MEDLINE | ID: mdl-28430243

RESUMO

It is now well established that extreme ultraviolet (EUV) mask multilayer roughness leads to wafer-plane line-width roughness (LWR) in the lithography process. Analysis and modeling done to date has assumed, however, that the roughness leading to scatter is primarily a phase effect and that the amplitude can be ignored. Under this assumption, simple scattering measurements can be used to characterize the statistical properties of the mask roughness. Here, we explore the implications of this simplifying assumption by modeling the imaging impacts of the roughness amplitude component as a function of the balance between amplitude and phase induced scatter. In addition to model-based analysis, we also use an EUV microscope to compare experimental through focus data to modeling in order to assess the actual amount of amplitude roughness on a typical EUV multilayer mask. The results indicate that amplitude roughness accounts for less than 1% of the total scatter for typical EUV masks.

9.
Opt Express ; 23(20): 26672-82, 2015 Oct 05.
Artigo em Inglês | MEDLINE | ID: mdl-26480180

RESUMO

We present a general algorithm for combining measurements taken under various illumination and imaging conditions to quantitatively extract the amplitude and phase of an object wave. The algorithm uses the weak object transfer function, which incorporates arbitrary pupil functions and partially coherent illumination. The approach is extended beyond the weak object regime using an iterative algorithm. We demonstrate the method on measurements of Extreme Ultraviolet Lithography (EUV) multilayer mask defects taken in an EUV zone plate microscope with both a standard zone plate lens and a zone plate implementing Zernike phase contrast.

10.
Adv Mater ; 27(38): 5813-9, 2015 Oct 14.
Artigo em Inglês | MEDLINE | ID: mdl-26079187

RESUMO

Extreme ultraviolet lithography (EUVL) is the leading technology for enabling miniaturization of computational components over the next decade. Next-generation resists will need to meet demanding performance criteria of 10 nm critical dimension, 1.2 nm line-edge roughness, and 20 mJ cm(-2) exposure dose. Here, the current state of the development of EUV resist materials is reviewed. First, pattern formation in resist materials is described and the Hansen solubility sphere (HSS) is used as a framework for understanding the pattern-development process. Then, recent progress in EUVL resist chemistry and characterization is discussed. Incremental advances are obtained by transferring chemically amplified resist materials developed for 193 nm lithography to EUV wavelengths. Significant advances will result from synthesizing high-absorbance resist materials using heavier atoms. In the framework of the HSS model, these materials have significant room for improvement and thus offer great promise as high-performance EUV resists for patterning of sub-10 nm features.

11.
J Synchrotron Radiat ; 21(Pt 6): 1370-7, 2014 Nov.
Artigo em Inglês | MEDLINE | ID: mdl-25343808

RESUMO

Beamline 2.1 (XM-2) is a transmission soft X-ray microscope in sector 2 of the Advanced Light Source at Lawrence Berkeley National Laboratory. XM-2 was designed, built and is now operated by the National Center for X-ray Tomography as a National Institutes of Health Biomedical Technology Research Resource. XM-2 is equipped with a cryogenic rotation stage to enable tomographic data collection from cryo-preserved cells, including large mammalian cells. During data collection the specimen is illuminated with `water window' X-rays (284-543 eV). Illuminating photons are attenuated an order of magnitude more strongly by biomolecules than by water. Consequently, differences in molecular composition generate quantitative contrast in images of the specimen. Soft X-ray tomography is an information-rich three-dimensional imaging method that can be applied either as a standalone technique or as a component modality in correlative imaging studies.


Assuntos
Criopreservação , Imageamento Tridimensional/métodos , Microscopia de Fluorescência/instrumentação , Microscopia de Fluorescência/métodos , Animais , Biologia Celular/instrumentação , Células Cultivadas , Criopreservação/instrumentação , Criopreservação/métodos , Desenho de Equipamento , Luz , Manejo de Espécimes , Tomografia Computadorizada por Raios X/métodos
12.
Opt Express ; 22(16): 19803-9, 2014 Aug 11.
Artigo em Inglês | MEDLINE | ID: mdl-25321062

RESUMO

We describe the coded aperture detector, a novel image sensor based on uniformly redundant arrays (URAs) with customizable pixel size, resolution, and operating photon energy regime. In this sensor, a coded aperture is scanned laterally at the image plane of an optical system, and the transmitted intensity is measured by a photodiode. The image intensity is then digitally reconstructed using a simple convolution. We present results from a proof-of-principle optical prototype, demonstrating high-fidelity image sensing comparable to a CCD. A 20-nm half-pitch URA fabricated by the Center for X-ray Optics (CXRO) nano-fabrication laboratory is presented that is suitable for high-resolution image sensing at EUV and soft X-ray wavelengths.

13.
Opt Express ; 22(17): 20144-54, 2014 Aug 25.
Artigo em Inglês | MEDLINE | ID: mdl-25321224

RESUMO

A self-contained electro-optical module for scanning extreme ultraviolet (EUV) reflection microscopy at 13.5 nm wavelength has been developed. The system has been designed to work with stand-alone commercially available EUV high harmonic generation (HHG) sources through the implementation of narrowband harmonic selecting multilayers and off-axis elliptical short focal length zoneplates. The module has been successfully integrated into an EUV mask scanning microscope achieving diffraction limited imaging performance (84 nm point spread function).

14.
Opt Express ; 20(14): 15752-68, 2012 Jul 02.
Artigo em Inglês | MEDLINE | ID: mdl-22772266

RESUMO

Two dual-configuration extreme ultraviolet (EUV, 13.5nm wavelength) optical designs are described as a means to overcome principal EUV photomask metrology challenges. Semiconductor industry-wide efforts to define performance requirements and create standalone tools that can be used to discover, review, and accurately locate phase, amplitude, and mask pattern defects are described. The reference designs co-optimize low and high magnification configurations for orthogonal chief ray planes to avoid inspection and review trade-offs and emulate the aerial image of a lithography scanner.

15.
Appl Opt ; 50(19): 3346-50, 2011 Jul 01.
Artigo em Inglês | MEDLINE | ID: mdl-21743539

RESUMO

In the case of extreme ultraviolet (EUV) lithography, modeling has shown that reflector phase roughness on the lithographic mask is a significant concern due to the image plane speckle it causes and the resulting line-edge roughness on imaged features. Modeling results have recently been used to determine the requirements for future production worthy masks yielding the extremely stringent specification of 50 pm rms roughness. Owing to the scale of the problem in terms of memory requirements, past modeling results have been based on the thin mask approximation in this application. EUV masks, however, are inherently three-dimensional (3D) in nature and thus the question arises as to the validity of the thin mask approximation. Here, we directly compare the image plane speckle calculation results using the fast two-dimensional thin mask model to rigorous finite-difference time-domain results and find the two methods to agree to within 10% in the computation of the speckle magnitude and 20% in the computation of the line-edge roughness limited depth of focus. For both types of computation, the two-dimensional method provides a conservative estimate. The 3D modeling is also used to show that layer-to-layer correlated roughness is indeed the roughness metric of most concern.

16.
Appl Opt ; 50(20): 3678-84, 2011 Jul 10.
Artigo em Inglês | MEDLINE | ID: mdl-21743581

RESUMO

Defect free masks remain one of the most significant challenges facing the commercialization of extreme ultraviolet (EUV) lithography. Progress on this front requires high-performance wavelength-specific metrology of EUV masks, including high-resolution and aerial-image microscopy performed near the 13.5 nm wavelength. Arguably the most cost-effective and rapid path to proliferating this capability is through the development of Fresnel zoneplate-based microscopes. Given the relative obscurity of such systems, however, modeling tools are not necessarily optimized to deal with them and their imaging properties are poorly understood. Here we present a modeling methodology to analyze zoneplate microscopes based on commercially available optical modeling software and use the technique to investigate the imaging performance of an off-axis EUV microscope design. The modeling predicts that superior performance can be achieved by tilting the zoneplate, making it perpendicular to the chief ray at the center of the field, while designing the zoneplate to explicitly work in that tilted plane. Although the examples presented here are in the realm of EUV mask inspection, the methods described and analysis results are broadly applicable to zoneplate microscopes in general, including full-field soft-x-ray microscopes routinely used in the synchrotron community.

17.
Appl Opt ; 48(18): 3302-7, 2009 Jun 20.
Artigo em Inglês | MEDLINE | ID: mdl-19543335

RESUMO

As critical dimensions for leading-edge semiconductor devices shrink, the line-edge roughness (LER) requirements are pushing well into the single digit nanometer regime. At these scales many new sources of LER must be considered. In the case of extreme ultraviolet (EUV) lithography, modeling has shown the lithographic mask to be a source of significant concern. Here we present a correlation-based methodology for experimentally measuring the magnitude of mask contributors to printed LER. The method is applied to recent printing results from a 0.3 numerical aperture EUV microfield exposure tool. The measurements demonstrate that such effects are indeed present and of significant magnitude. The method is also used to explore the effects of illumination coherence and defocus and has been used to verify model-based predictions of mask-induced LER.

18.
Opt Lett ; 33(24): 2995-7, 2008 Dec 15.
Artigo em Inglês | MEDLINE | ID: mdl-19079518

RESUMO

The resolution limit of present 0.3 NA 13.5 nm wavelength microexposure tools is compared to next-generation lithography research requirements. Findings suggest that a successor design is needed for patterning starting at the 16 nm semiconductor process technology node. A two-mirror 0.5 NA optical design is presented, and performance expectations are established from detailed optical and lithographic simulation. We report on the results from a SEMATECH program to fabricate a projection optic with an ultimate resolution limit of approximately 11 nm.

19.
Appl Opt ; 47(9): 1327-35, 2008 Mar 20.
Artigo em Inglês | MEDLINE | ID: mdl-18709081

RESUMO

Fringe formation in the two-grating interferometer is analyzed in the presence of a small parallelism error between the diffraction gratings assumed in the direction of grating shear. Our analysis shows that with partially coherent illumination, fringe contrast in the interference plane is reduced in the presence of nonzero grating tilt with the effect proportional to the grating tilt angle and the grating spatial frequencies. Our analysis also shows that for a given angle between the gratings there is an angle between the final grating and the interference plane that optimizes fringe contrast across the field.

20.
Opt Express ; 16(15): 11519-24, 2008 Jul 21.
Artigo em Inglês | MEDLINE | ID: mdl-18648473

RESUMO

One of the major challenges facing the commercialization of extreme ultraviolet (EUV) lithography remains simultaneously achieving resist sensitivity, line-edge roughness, and resolution requirement. Sensitivity is of particular concern owing to its direct impact on source power requirements. Most current EUV exposure tools have been calibrated against a resist standard with the actual calibration of the standard resist dating back to EUV exposures at Sandia National Laboratories in the mid 1990s. Here we report on an independent sensitivity calibration of two baseline resists from the SEMATECH Berkeley MET tool performed at the Advanced Light Source Calibrations and Standards beamline. The results show the baseline resists to be approximately 1.9 times faster than previously thought based on calibration against the long standing resist standard.


Assuntos
Teste de Materiais/normas , Fotoquímica/métodos , Fotoquímica/normas , Fotografação/métodos , Calibragem , Raios Ultravioleta , Estados Unidos
SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...