Your browser doesn't support javascript.
loading
Mostrar: 20 | 50 | 100
Resultados 1 - 20 de 22
Filtrar
Mais filtros










Base de dados
Intervalo de ano de publicação
1.
Sci Rep ; 10(1): 11673, 2020 Jul 15.
Artigo em Inglês | MEDLINE | ID: mdl-32669602

RESUMO

We demonstrate a method for characterizing the field-dependent aberrations of a full-field synchrotron-based extreme ultraviolet microscope. The statistical uniformity of the inherent, atomic-scale roughness of readily-available photomask blanks enables a self-calibrating computational procedure using images acquired under standard operation. We characterize the aberrations across a 30-um field-of-view, demonstrating a minimum aberration magnitude of smaller than [Formula: see text] averaged over the center 5-um area, with a measurement accuracy better than [Formula: see text]. The measured field variation of aberrations is consistent with system geometry and agrees with prior characterizations of the same system. In certain cases, it may be possible to additionally recover the illumination wavefront from the same images. Our method is general and is easily applied to coherent imaging systems with steerable illumination without requiring invasive hardware or custom test objects; hence, it provides substantial benefits when characterizing microscopes and high-resolution imaging systems in situ.

2.
Appl Opt ; 56(12): 3325-3328, 2017 Apr 20.
Artigo em Inglês | MEDLINE | ID: mdl-28430243

RESUMO

It is now well established that extreme ultraviolet (EUV) mask multilayer roughness leads to wafer-plane line-width roughness (LWR) in the lithography process. Analysis and modeling done to date has assumed, however, that the roughness leading to scatter is primarily a phase effect and that the amplitude can be ignored. Under this assumption, simple scattering measurements can be used to characterize the statistical properties of the mask roughness. Here, we explore the implications of this simplifying assumption by modeling the imaging impacts of the roughness amplitude component as a function of the balance between amplitude and phase induced scatter. In addition to model-based analysis, we also use an EUV microscope to compare experimental through focus data to modeling in order to assess the actual amount of amplitude roughness on a typical EUV multilayer mask. The results indicate that amplitude roughness accounts for less than 1% of the total scatter for typical EUV masks.

3.
Opt Express ; 23(20): 26672-82, 2015 Oct 05.
Artigo em Inglês | MEDLINE | ID: mdl-26480180

RESUMO

We present a general algorithm for combining measurements taken under various illumination and imaging conditions to quantitatively extract the amplitude and phase of an object wave. The algorithm uses the weak object transfer function, which incorporates arbitrary pupil functions and partially coherent illumination. The approach is extended beyond the weak object regime using an iterative algorithm. We demonstrate the method on measurements of Extreme Ultraviolet Lithography (EUV) multilayer mask defects taken in an EUV zone plate microscope with both a standard zone plate lens and a zone plate implementing Zernike phase contrast.

4.
Adv Mater ; 27(38): 5813-9, 2015 Oct 14.
Artigo em Inglês | MEDLINE | ID: mdl-26079187

RESUMO

Extreme ultraviolet lithography (EUVL) is the leading technology for enabling miniaturization of computational components over the next decade. Next-generation resists will need to meet demanding performance criteria of 10 nm critical dimension, 1.2 nm line-edge roughness, and 20 mJ cm(-2) exposure dose. Here, the current state of the development of EUV resist materials is reviewed. First, pattern formation in resist materials is described and the Hansen solubility sphere (HSS) is used as a framework for understanding the pattern-development process. Then, recent progress in EUVL resist chemistry and characterization is discussed. Incremental advances are obtained by transferring chemically amplified resist materials developed for 193 nm lithography to EUV wavelengths. Significant advances will result from synthesizing high-absorbance resist materials using heavier atoms. In the framework of the HSS model, these materials have significant room for improvement and thus offer great promise as high-performance EUV resists for patterning of sub-10 nm features.

5.
J Synchrotron Radiat ; 21(Pt 6): 1370-7, 2014 Nov.
Artigo em Inglês | MEDLINE | ID: mdl-25343808

RESUMO

Beamline 2.1 (XM-2) is a transmission soft X-ray microscope in sector 2 of the Advanced Light Source at Lawrence Berkeley National Laboratory. XM-2 was designed, built and is now operated by the National Center for X-ray Tomography as a National Institutes of Health Biomedical Technology Research Resource. XM-2 is equipped with a cryogenic rotation stage to enable tomographic data collection from cryo-preserved cells, including large mammalian cells. During data collection the specimen is illuminated with `water window' X-rays (284-543 eV). Illuminating photons are attenuated an order of magnitude more strongly by biomolecules than by water. Consequently, differences in molecular composition generate quantitative contrast in images of the specimen. Soft X-ray tomography is an information-rich three-dimensional imaging method that can be applied either as a standalone technique or as a component modality in correlative imaging studies.


Assuntos
Criopreservação , Imageamento Tridimensional/métodos , Microscopia de Fluorescência/instrumentação , Microscopia de Fluorescência/métodos , Animais , Biologia Celular/instrumentação , Células Cultivadas , Criopreservação/instrumentação , Criopreservação/métodos , Desenho de Equipamento , Luz , Manejo de Espécimes , Tomografia Computadorizada por Raios X/métodos
6.
Opt Express ; 22(17): 20144-54, 2014 Aug 25.
Artigo em Inglês | MEDLINE | ID: mdl-25321224

RESUMO

A self-contained electro-optical module for scanning extreme ultraviolet (EUV) reflection microscopy at 13.5 nm wavelength has been developed. The system has been designed to work with stand-alone commercially available EUV high harmonic generation (HHG) sources through the implementation of narrowband harmonic selecting multilayers and off-axis elliptical short focal length zoneplates. The module has been successfully integrated into an EUV mask scanning microscope achieving diffraction limited imaging performance (84 nm point spread function).

7.
Appl Opt ; 50(19): 3346-50, 2011 Jul 01.
Artigo em Inglês | MEDLINE | ID: mdl-21743539

RESUMO

In the case of extreme ultraviolet (EUV) lithography, modeling has shown that reflector phase roughness on the lithographic mask is a significant concern due to the image plane speckle it causes and the resulting line-edge roughness on imaged features. Modeling results have recently been used to determine the requirements for future production worthy masks yielding the extremely stringent specification of 50 pm rms roughness. Owing to the scale of the problem in terms of memory requirements, past modeling results have been based on the thin mask approximation in this application. EUV masks, however, are inherently three-dimensional (3D) in nature and thus the question arises as to the validity of the thin mask approximation. Here, we directly compare the image plane speckle calculation results using the fast two-dimensional thin mask model to rigorous finite-difference time-domain results and find the two methods to agree to within 10% in the computation of the speckle magnitude and 20% in the computation of the line-edge roughness limited depth of focus. For both types of computation, the two-dimensional method provides a conservative estimate. The 3D modeling is also used to show that layer-to-layer correlated roughness is indeed the roughness metric of most concern.

8.
Appl Opt ; 50(20): 3678-84, 2011 Jul 10.
Artigo em Inglês | MEDLINE | ID: mdl-21743581

RESUMO

Defect free masks remain one of the most significant challenges facing the commercialization of extreme ultraviolet (EUV) lithography. Progress on this front requires high-performance wavelength-specific metrology of EUV masks, including high-resolution and aerial-image microscopy performed near the 13.5 nm wavelength. Arguably the most cost-effective and rapid path to proliferating this capability is through the development of Fresnel zoneplate-based microscopes. Given the relative obscurity of such systems, however, modeling tools are not necessarily optimized to deal with them and their imaging properties are poorly understood. Here we present a modeling methodology to analyze zoneplate microscopes based on commercially available optical modeling software and use the technique to investigate the imaging performance of an off-axis EUV microscope design. The modeling predicts that superior performance can be achieved by tilting the zoneplate, making it perpendicular to the chief ray at the center of the field, while designing the zoneplate to explicitly work in that tilted plane. Although the examples presented here are in the realm of EUV mask inspection, the methods described and analysis results are broadly applicable to zoneplate microscopes in general, including full-field soft-x-ray microscopes routinely used in the synchrotron community.

9.
Appl Opt ; 48(18): 3302-7, 2009 Jun 20.
Artigo em Inglês | MEDLINE | ID: mdl-19543335

RESUMO

As critical dimensions for leading-edge semiconductor devices shrink, the line-edge roughness (LER) requirements are pushing well into the single digit nanometer regime. At these scales many new sources of LER must be considered. In the case of extreme ultraviolet (EUV) lithography, modeling has shown the lithographic mask to be a source of significant concern. Here we present a correlation-based methodology for experimentally measuring the magnitude of mask contributors to printed LER. The method is applied to recent printing results from a 0.3 numerical aperture EUV microfield exposure tool. The measurements demonstrate that such effects are indeed present and of significant magnitude. The method is also used to explore the effects of illumination coherence and defocus and has been used to verify model-based predictions of mask-induced LER.

10.
Appl Opt ; 47(9): 1327-35, 2008 Mar 20.
Artigo em Inglês | MEDLINE | ID: mdl-18709081

RESUMO

Fringe formation in the two-grating interferometer is analyzed in the presence of a small parallelism error between the diffraction gratings assumed in the direction of grating shear. Our analysis shows that with partially coherent illumination, fringe contrast in the interference plane is reduced in the presence of nonzero grating tilt with the effect proportional to the grating tilt angle and the grating spatial frequencies. Our analysis also shows that for a given angle between the gratings there is an angle between the final grating and the interference plane that optimizes fringe contrast across the field.

11.
Opt Express ; 16(15): 11519-24, 2008 Jul 21.
Artigo em Inglês | MEDLINE | ID: mdl-18648473

RESUMO

One of the major challenges facing the commercialization of extreme ultraviolet (EUV) lithography remains simultaneously achieving resist sensitivity, line-edge roughness, and resolution requirement. Sensitivity is of particular concern owing to its direct impact on source power requirements. Most current EUV exposure tools have been calibrated against a resist standard with the actual calibration of the standard resist dating back to EUV exposures at Sandia National Laboratories in the mid 1990s. Here we report on an independent sensitivity calibration of two baseline resists from the SEMATECH Berkeley MET tool performed at the Advanced Light Source Calibrations and Standards beamline. The results show the baseline resists to be approximately 1.9 times faster than previously thought based on calibration against the long standing resist standard.


Assuntos
Teste de Materiais/normas , Fotoquímica/métodos , Fotoquímica/normas , Fotografação/métodos , Calibragem , Raios Ultravioleta , Estados Unidos
12.
Appl Opt ; 47(1): 56-63, 2008 Jan 01.
Artigo em Inglês | MEDLINE | ID: mdl-18157277

RESUMO

The resolution of chemically amplified resists is becoming an increasing concern, especially for lithography in the extreme ultraviolet (EUV) regime. Large-scale screening is currently under way to identify resist platforms that can support the demanding specifications required for EUV lithography. Current screening processes would benefit from the development of metrics that can objectively quantify resist resolution in a high-throughput fashion. Here we examine two high-throughput metrics for resist resolution determination. After summarizing their details and justifying their utility, we characterize the sensitivity of both metrics to known uncertainties in exposure tool aberrations and focus control. For an implementation at EUV wavelengths, we report aberration and focus-limited error bars in extracted resolution of approximately 1.25 nm rms for both metrics, making them attractive candidates for future screening and downselection efforts.

13.
Appl Opt ; 46(14): 2581-5, 2007 May 10.
Artigo em Inglês | MEDLINE | ID: mdl-17446905

RESUMO

As the development of extreme-ultraviolet (EUV) lithography progresses, interest grows in the extension of traditional optical components to the EUV regime. The strong absorption of EUV by most materials and its extremely short wavelength, however, make it very difficult to implement many components that are commonplace in the longer wavelength regimes. One such component is the diffractive optical element used, for example, in illumination systems to efficiently generate modified pupil fills. The fabrication and characterization of an EUV binary phase-only computer-generated hologram is demonstrated, allowing arbitrary far-field diffraction patterns to be generated. Based on reflective architecture, the fabricated device is extremely efficient. Based on an identically fabricated null hologram, the absolute efficiency into one diffracted order of 22% has been demonstrated. In the case where axially symmetric diffraction patterns are desired (both positive and negative diffraction orders can be used), the efficiency can be twice as high.

14.
Appl Opt ; 45(11): 2404-8, 2006 Apr 10.
Artigo em Inglês | MEDLINE | ID: mdl-16623236

RESUMO

Substrates intended for use as extreme-ultraviolet (EUV) optics have extremely stringent requirements in terms of finish. These requirements can dramatically increase the cost and fabrication time, especially when nonconventional shapes, such as toroids, are required. Here we present a spin-on-glass resist process capable of generating superpolished parts from inexpensive substrates. The method has been used to render diamond-turned substrates compatible for use as EUV optics. Toroidal diamond-turned optics with starting rms roughness in the 3.3-3.7 nm range have been smoothed to the 0.4-0.6 nm range. EUV reflectometry characterization of these optics has demonstrated reflectivities of approximately 65%.

15.
Appl Opt ; 45(9): 1957-63, 2006 Mar 20.
Artigo em Inglês | MEDLINE | ID: mdl-16579565

RESUMO

Extreme-ultraviolet (EUV) lithography remains a leading contender for use in the mass production of nanoelectronics at the 32 nm node. Great progress has been made in all areas of EUV lithography, including the crucial issue of fabrication of diffraction-limited optics. To gain an accurate understanding of the projection optic wavefront error in a completed lithography tool requires lithography-based aberration measurements; however, making such measurements in EUV systems can be challenging. We describe the quantitative lithographic measurement of spherical aberration in a 0.3 numerical aperture. EUV microfield optic. The measurement method is based on use of the unique properties of a programmable coherence illuminator. The results show the optic to have 1 nm rms spherical error, whereas interferometric measurements performed during the alignment of the optic indicated a spherical error of less than 0.1 nm rms.

16.
Appl Opt ; 44(2): 183-9, 2005 Jan 10.
Artigo em Inglês | MEDLINE | ID: mdl-15678769

RESUMO

Relying on reflective mask technology, extreme-ultraviolet (EUV) lithography is particularly vulnerable to mask substrate roughness. Previous research has shown mask roughness to play a significant role in printed line-edge roughness (LER). Here the analysis of mask-roughness effects is extended to printed contact-size variations. Unlike LER, illumination partial coherence is found to have little affect on the results for contacts that are near the diffraction limit. Analysis shows that, given the current state-of-the-art EUV mask, mask roughness has a significant effect on the process window for small contacts. The analysis also shows that a significant portion of the contact-size variation observed in recent 0.1-numerical-aperture EUV exposures can be attributed to the mask-roughness effect studied here.

17.
Appl Opt ; 43(28): 5323-9, 2004 Oct 01.
Artigo em Inglês | MEDLINE | ID: mdl-15495422

RESUMO

As the development of extreme-ultraviolet (EUV) lithography progresses, interest grows in the extension of traditional optical components to the EUV regime. Because of the strong absorption of EUV by most materials and because of its extremely short wavelength, however, it is difficult to implement many components that are commonplace in the longer-wavelength regimes. One such example is the diffuser that is often implemented with ordinary ground glass in the visible light regime. Here we demonstrate the fabrication of reflective EUV diffusers with high efficiency within a controllable bandwidth. Using these techniques, we have fabricated diffusers with efficiencies exceeding 10% within a moderate angular single-sided bandwidth of approximately 0.06 rad.

18.
Appl Opt ; 43(20): 4025-32, 2004 Jul 10.
Artigo em Inglês | MEDLINE | ID: mdl-15285094

RESUMO

The control of line-edge roughness (LER) of features printed in photoresist poses significant challenges to next-generation lithography techniques such as extreme-ultraviolet (EUV) lithography. Achieving adequately low LER levels requires accurate resist characterization as well as the ability to separate resist effects from other potential contributors to LER. One potentially significant contributor to LER arises from roughness on the mask coupling to speckle in the aerial image and consequently to LER in the printed image. Here I numerically study mask surface roughness and phase roughness to resist LER coupling both as a function of illumination coherence and defocus. Moreover, the potential consequences of this mask effect for recent EUV lithography experiments is studied through direct comparison with experimental through-focus printing data collected at a variety of coherence settings. Finally, the effect that mask roughness will play in upcoming 0.3-numerical-aperture resist testing is considered.

19.
Appl Opt ; 43(4): 788-92, 2004 Feb 01.
Artigo em Inglês | MEDLINE | ID: mdl-14960071

RESUMO

A crucial component of lithographic modeling is the resist. Resists typically used at extreme-ultraviolet (EUV) wavelengths are derivatives of deep-ultraviolet chemically amplified resists. Models that describe these resists are often very complicated and are dependent on a large number of free parameters. Point-spread-function-based resist modeling serves as a simple alternative. I show this type of modeling to be a viable technique at EUV wavelengths by directly comparing modeling results with a variety of printing metrics, including process windows and isodense bias.

20.
Opt Lett ; 28(17): 1534-6, 2003 Sep 01.
Artigo em Inglês | MEDLINE | ID: mdl-12956370

RESUMO

We report, for the first time to our knowledge, experimental demonstration of wave-front analysis via the Hartmann technique in the extreme ultraviolet range. The reference wave front needed to calibrate the sensor was generated by spatially filtering a focused undulator beam with 1.7- and 0.6-microm-diameter pinholes. To fully characterize the sensor, accuracy and sensitivity measurements were performed. The incident beam's wavelength was varied from 7 to 25 nm. Measurements of accuracy better than lambdaEUV/120 (0.11 nm) were obtained at lambdaEUV = 13.4 nm. The aberrations introduced by an additional thin mirror, as well as wave front of the spatially unfiltered incident beam, were also measured.

SELEÇÃO DE REFERÊNCIAS
DETALHE DA PESQUISA
...