Your browser doesn't support javascript.
loading
Show: 20 | 50 | 100
Results 1 - 20 de 44
Filter
Add more filters










Publication year range
1.
Nanomaterials (Basel) ; 14(10)2024 May 09.
Article in English | MEDLINE | ID: mdl-38786792

ABSTRACT

After more than five decades, Moore's Law for transistors is approaching the end of the international technology roadmap of semiconductors (ITRS). The fate of complementary metal oxide semiconductor (CMOS) architecture has become increasingly unknown. In this era, 3D transistors in the form of gate-all-around (GAA) transistors are being considered as an excellent solution to scaling down beyond the 5 nm technology node, which solves the difficulties of carrier transport in the channel region which are mainly rooted in short channel effects (SCEs). In parallel to Moore, during the last two decades, transistors with a fully depleted SOI (FDSOI) design have also been processed for low-power electronics. Among all the possible designs, there are also tunneling field-effect transistors (TFETs), which offer very low power consumption and decent electrical characteristics. This review article presents new transistor designs, along with the integration of electronics and photonics, simulation methods, and continuation of CMOS process technology to the 5 nm technology node and beyond. The content highlights the innovative methods, challenges, and difficulties in device processing and design, as well as how to apply suitable metrology techniques as a tool to find out the imperfections and lattice distortions, strain status, and composition in the device structures.

2.
Nanoscale ; 16(21): 10333-10339, 2024 May 30.
Article in English | MEDLINE | ID: mdl-38738596

ABSTRACT

We report an experimental study of quantum point contacts defined in a high-quality strained germanium quantum well with layered electric gates. At a zero magnetic field, we observed quantized conductance plateaus in units of 2e2/h. Bias-spectroscopy measurements reveal that the energy spacing between successive one-dimensional subbands ranges from 1.5 to 5 meV as a consequence of the small effective mass of the holes and the narrow gate constrictions. At finite magnetic fields perpendicular to the device plane, the edges of the conductance plateaus get split due to the Zeeman effect and Landé g factors were estimated to be ∼6.6 for the holes in the germanium quantum well. We demonstrate that all quantum point contacts in the same device have comparable performances, indicating a reliable and reproducible device fabrication process. Thus, our work lays a foundation for investigating multiple forefronts of physics in germanium-based quantum devices that require quantum point contacts as building blocks.

3.
Natl Sci Rev ; 11(3): nwad290, 2024 Mar.
Article in English | MEDLINE | ID: mdl-38312381

ABSTRACT

Whether amorphous oxide semiconductor (AOS) is an enabler or pass-by for monolithic 3D DRAM is discussed, with current challenges and future directions proposed in this perspective.

4.
Nat Commun ; 15(1): 745, 2024 Jan 25.
Article in English | MEDLINE | ID: mdl-38272914

ABSTRACT

The electrical control of the non-trivial topology in Weyl antiferromagnets is of great interest for the development of next-generation spintronic devices. Recent studies suggest that the spin Hall effect can switch the topological antiferromagnetic order. However, the switching efficiency remains relatively low. Here, we demonstrate the effective manipulation of antiferromagnetic order in the Weyl semimetal Mn3Sn using orbital torques originating from either metal Mn or oxide CuOx. Although Mn3Sn can convert orbital current to spin current on its own, we find that inserting a heavy metal layer, such as Pt, of appropriate thickness can effectively reduce the critical switching current density by one order of magnitude. In addition, we show that the memristor-like switching behaviour of Mn3Sn can mimic the potentiation and depression processes of a synapse with high linearity-which may be beneficial for constructing accurate artificial neural networks. Our work paves a way for manipulating the topological antiferromagnetic order and may inspire more high-performance antiferromagnetic functional devices.

5.
ACS Appl Mater Interfaces ; 16(1): 1129-1136, 2024 Jan 10.
Article in English | MEDLINE | ID: mdl-38118124

ABSTRACT

Materials with strong spin-orbit coupling (SOC) have been continuously attracting intensive attention due to their promising application in energy-efficient, high-density, and nonvolatile spintronic devices. Particularly, transition-metal perovskite oxides with strong SOC have been demonstrated to exhibit efficient charge-spin interconversion. In this study, we systematically investigated the impact of epitaxial strain on the spin-orbit torque (SOT) efficiency in the SrIrO3(SIO)/Ni81Fe19(Py) bilayer. The results reveal that the SOT efficiency is strongly related to the octahedral rotation around the in-plane axes of the single-crystal SIO. By modulating the epitaxial strain using different substrates, the SOT efficiency can be remarkably improved from 0.15 to 1.45. This 10-fold enhancement of SOT efficiency suggests that modulating the epitaxial strain is an efficient approach to control the SOT efficiency in complex oxide-based heterostructures. Our work may have the potential to advance the application of complex oxides in energy-efficient spintronic devices.

6.
Nanomaterials (Basel) ; 13(22)2023 Nov 18.
Article in English | MEDLINE | ID: mdl-37999325

ABSTRACT

With characteristic size scaling down to the nanoscale range, the confined geometry exacerbates the self-heating effect (SHE) in nanoscale devices. In this paper, the impact of ambient temperature (Tamb) on the SHE in stacked nanosheet transistors is investigated. As the number of lateral stacks (Nstack) increases, the nanoscale devices show more severe thermal crosstalk issues, and the current performance between n- and p-type nanoscale transistors exhibits different degradation trends. To compare the effect of different Tamb ranges, the temperature coefficients of current per stack and threshold voltage are analyzed. As the Nstack increases from 4 to 32, it is verified that the zero-temperature coefficient bias point (VZTC) decreases significantly in p-type nanoscale devices when Tamb is above room temperature. This can be explained by the enhanced thermal crosstalk. Then, the gate length-dependent electrothermal characteristics with different Nstacks are investigated at various Tambs. To explore the origin of drain current variation, the temperature-dependent backscattering model is utilized to explain the variation. At last, the simulation results verify the impact of Tamb on the SHE. The study provides an effective design guide for stacked nanosheet transistors when considering multiple stacks in circuit applications.

7.
ACS Appl Mater Interfaces ; 15(48): 56567-56574, 2023 Dec 06.
Article in English | MEDLINE | ID: mdl-37988059

ABSTRACT

SiGe/Si multilayer is the core structure of the active area of gate-all-around field-effect transistors and semiconductor quantum computing devices. In this paper, high-quality SiGe/Si multilayers have been grown by a reduced-pressure chemical vapor deposition system. The effects of temperature, pressure, interface processing (dichlorosilane (SiH2Cl2, DCS) and hydrogen chloride (HCl)) on improving the transition thickness of SiGe to Si interfaces were investigated. The interface quality was characterized by transmission electron microscopy/atomic force microscopy/high-resolution X-ray diffraction methods. It was observed that limiting the migration of Ge atoms in the interface was critical for optimizing a sharp interface, and the addition of DCS was found to decrease the interface transition thickness. The change of the interfacial transition layer is not significant in the short treatment time of HCl. When the processing time of HCl is increased, the internal interface is optimized to a certain extent but the corresponding film thickness is also reduced. This study provides technical support for the acquisition of an abrupt interface and will have a very favorable influence on the performance improvement of miniaturized devices in the future.

8.
ACS Nano ; 17(22): 22259-22267, 2023 Nov 28.
Article in English | MEDLINE | ID: mdl-37823534

ABSTRACT

A special Ge nanowire/nanosheet (NW/NS) p-type vertical sandwich gate-all-around (GAA) field-effect transistor (FET) (Ge NW/NS pVSAFET) with self-aligned high-κ metal gates (HKMGs) is proposed. The Ge pVSAFETs were fabricated by high-quality GeSi/Ge epitaxy, an exclusively developed self-limiting isotropic quasi atomic layer etching (qALE) of Ge selective to both GeSi and the (111) plane, top-drain implantation, and ozone postoxidation (OPO) channel passivation. The Ge pVSAFETs, which have hourglass-shaped (111) channels with the smallest size range from 5 to 20 nm formed by qALE, have reached a record high Ion of ∼291 µA/µm and exhibited good short channel effects (SCEs) control. The integration flow is compatible with mainstream CMOS processes, and Ge pVSAFETs with precise control of gate lengths/channel sizes were obtained.

9.
Nanomaterials (Basel) ; 13(14)2023 Jul 21.
Article in English | MEDLINE | ID: mdl-37513138

ABSTRACT

Gate-all-around (GAA) structures are important for future logic devices and 3D-DRAM. Inner-spacer cavity etching and channel release both require selective etching of Si0.7Ge0.3. Increasing the number of channel-stacking layers is an effective way to improve device current-driving capability and storage density. Previous work investigated ICP selective etching of a three-cycle Si0.7Ge0.3/Si multilayer structure and the related etching effects. This study focuses on the dry etching of a 15-cycle Si0.7Ge0.3/Si multilayer structure and the associated etching effects, using simulation and experimentation. The simulation predicts the random effect of lateral etching depth and the asymmetric effect of silicon nanosheet damage on the edge, both of which are verified by experiments. Furthermore, the study experimentally investigates the influence and mechanism of pressure, power, and other parameters on the etching results. Research on these etching effects and mechanisms will provide important points of reference for the dry selective etching of Si0.7Ge0.3 in GAA structures.

10.
Nanomaterials (Basel) ; 13(11)2023 Jun 01.
Article in English | MEDLINE | ID: mdl-37299689

ABSTRACT

Transistor scaling has become increasingly difficult in the dynamic random access memory (DRAM). However, vertical devices will be good candidates for 4F2 DRAM cell transistors (F = pitch/2). Most vertical devices are facing some technical challenges. For example, the gate length cannot be precisely controlled, and the gate and the source/drain of the device cannot be aligned. Recrystallization-based vertical C-shaped-channel nanosheet field-effect transistors (RC-VCNFETs) were fabricated. The critical process modules of the RC-VCNFETs were developed as well. The RC-VCNFET with a self-aligned gate structure has excellent device performance, and its subthreshold swing (SS) is 62.91 mV/dec. Drain-induced barrier lowering (DIBL) is 6.16 mV/V.

11.
Nanomaterials (Basel) ; 13(12)2023 Jun 15.
Article in English | MEDLINE | ID: mdl-37368297

ABSTRACT

At sub-3 nm nodes, the scaling of lateral devices represented by a fin field-effect transistor (FinFET) and gate-all-around field effect transistors (GAAFET) faces increasing technical challenges. At the same time, the development of vertical devices in the three-dimensional direction has excellent potential for scaling. However, existing vertical devices face two technical challenges: "self-alignment of gate and channel" and "precise gate length control". A recrystallization-based vertical C-shaped-channel nanosheet field effect transistor (RC-VCNFET) was proposed, and related process modules were developed. The vertical nanosheet with an "exposed top" structure was successfully fabricated. Moreover, through physical characterization methods such as scanning electron microscopy (SEM), atomic force microscopy (AFM), conductive atomic force microscopy (C-AFM) and transmission electron microscopy (TEM), the influencing factors of the crystal structure of the vertical nanosheet were analyzed. This lays the foundation for fabricating high-performance and low-cost RC-VCNFETs devices in the future.

12.
ACS Appl Mater Interfaces ; 15(23): 28799-28805, 2023 Jun 14.
Article in English | MEDLINE | ID: mdl-37166277

ABSTRACT

We develop a method to fabricate an undoped Ge quantum well (QW) under a 32 nm relaxed Si0.2Ge0.8 shallow barrier. The bottom barrier contains Si0.2Ge0.8 (650 °C) and Si0.1Ge0.9 (800 °C) such that variation of Ge content forms a sharp interface that can suppress the threading dislocation density (TDD) penetrating into the undoped Ge quantum well. The SiGe barrier introduces enough in-plane parallel strain (ε∥ strain -0.41%) in the Ge quantum well. The heterostructure field-effect transistors with a shallow buried channel obtain an ultrahigh two-dimensional hole gas (2DHG) mobility over 2 × 106 cm2/(V s) and a very low percolation density of (5.689 ± 0.062) × 1010 cm-2. The fractional indication is also observed at high density and high magnetic fields. This strained germanium as a noise mitigation material provides a platform for integration of quantum computation with a long coherence time and fast all-electrical manipulation.

13.
Nanomaterials (Basel) ; 13(7)2023 Apr 03.
Article in English | MEDLINE | ID: mdl-37049352

ABSTRACT

In this article, an experimental study on the gate-induced drain leakage (GIDL) current repairing worst hot carrier degradation (HCD) in Si p-FinFETs is investigated with the aid of an ultra-fast measurement (UFM) technique (~30 µs). It is found that increasing GIDL bias from 3 V to 4 V achieves a 114.7% VT recovery ratio from HCD. This over-repair phenomenon of HCD by UFM GIDL is deeply discussed through oxide trap behaviors. When the applied gate-to-drain GIDL bias reaches 4 V, a significant electron trapping and interface trap generation of the fresh device with GIDL repair is observed, which greatly contributes to the approximate 114.7% over-repair VT ratio of the device under worst HCD stress (-2.0 V, 200 s). Based on the TCAD simulation results, the increase in the vertical electric field on the surface of the channel oxide layer is the direct cause of an extraordinary electron trapping effect accompanied by the over-repair phenomenon. Under a high positive electric field, a part of channel electrons is captured by oxide traps in the gate dielectric, leading to further VT recovery. Through the discharge-based multi-pulse (DMP) technique, the energy distribution of oxide traps after GIDL recovery is obtained. It is found that over-repair results in a 34% increment in oxide traps around the conduction energy band (Ec) of silicon, which corresponds to a higher stabilized VT shift under multi-cycle HCD-GIDL tests. The results provide a trap-based understanding of the transistor repairing technique, which could provide guidance for the reliable long-term operation of ICs.

14.
Nanomaterials (Basel) ; 12(21)2022 Oct 30.
Article in English | MEDLINE | ID: mdl-36364614

ABSTRACT

A nanostrip magnonic-crystal waveguide with spatially periodic width modulation can serve as a gigahertz-range spin-wave filter. Compared with the regular constant-width nanostrip, the periodic width modulation creates forbidden bands (band gaps) at the Brillouin zone boundaries due to the spin-wave reflection by the periodic potential owing to the long-range dipolar interactions. Previous works have shown that there is a critical challenge in tuning the band structures of the magnonic-crystal waveguide once it is fabricated. In this work, using micromagnetic simulations, we show that voltage-controlled magnetic anisotropy can effectively tune the band structures of a ferromagnetic-dielectric heterostructural magnonic-crystal waveguide. A uniformly applied voltage of 0.1 V/nm can lead to a significant frequency shift of ~9 GHz. A spin-wave transistor prototype employing such a kind of spin-wave filter is proposed to realize various logical operations. Our results could be significant for future magnonic computing applications.

15.
Micromachines (Basel) ; 13(10)2022 Sep 22.
Article in English | MEDLINE | ID: mdl-36295932

ABSTRACT

The development of the low dislocation density of the Si-based GaAs buffer is considered the key technical route for realizing InAs/GaAs quantum dot lasers for photonic integrated circuits. To prepare the high-quality GaAs layer on the Si substrate, we employed an engineered Ge-buffer on Si, used thermal cycle annealing, and introduced filtering layers, e.g., strained-layer superlattices, to control/reduce the threading dislocation density in the active part of the laser. In this way, a low defect density of 2.9 × 107 cm-2 could be achieved in the GaAs layer with a surface roughness of 1.01 nm. Transmission electron microscopy has been applied to study the effect of cycling, annealing, and filtering layers for blocking or bending threading-dislocation into the InAs QDs active region of the laser. In addition, the dependence of optical properties of InAs QDs on the growth temperature was also investigated. The results show that a density of 3.4 × 1010 InAs quantum dots could be grown at 450 °C, and the photoluminescence exhibits emission wavelengths of 1274 nm with a fullwidth at half-maximum (FWHM) equal to 32 nm at room temperature. The laser structure demonstrates a peak at 1.27 µm with an FWHM equal to 2.6 nm under a continuous-wave operation with a threshold current density of ∼158 A/cm2 for a 4-µm narrow-ridge width InAs QD device. This work, therefore, paves the path for a monolithic solution for photonic integrated circuits when III-V light sources (which is required for Si photonics) are grown on a Ge-platform (engineered Ge-buffer on Si) for the integration of the CMOS part with other photonic devices on the same chip in near future.

16.
Nanomaterials (Basel) ; 12(15)2022 Aug 05.
Article in English | MEDLINE | ID: mdl-35957135

ABSTRACT

The realization of high-performance Si-based III-V quantum-dot (QD) lasers has long attracted extensive interest in optoelectronic circuits. This manuscript presents InAs/GaAs QD lasers integrated on an advanced GaAs virtual substrate. The GaAs layer was originally grown on Ge as another virtual substrate on Si wafer. No patterned substrate or sophisticated superlattice defect-filtering layer was involved. Thanks to the improved quality of the comprehensively modified GaAs crystal with low defect density, the room temperature emission wavelength of this laser was allocated at 1320 nm, with a threshold current density of 24.4 A/cm-2 per layer and a maximum single-facet output power reaching 153 mW at 10 °C. The maximum operation temperature reaches 80 °C. This work provides a feasible and promising proposal for the integration of an efficient O-band laser with a standard Si platform in the near future.

17.
Nanomaterials (Basel) ; 12(12)2022 Jun 09.
Article in English | MEDLINE | ID: mdl-35745318

ABSTRACT

In recent years, nanodevices have attracted a large amount of attention due to their low power consumption and fast operation in electronics and photonics, as well as their high sensitivity in sensor applications [...].

18.
Materials (Basel) ; 15(10)2022 May 18.
Article in English | MEDLINE | ID: mdl-35629618

ABSTRACT

In this manuscript, a novel dual-step selective epitaxy growth (SEG) of Ge was proposed to significantly decrease the defect density and to create fully strained relaxed Ge on a Si substrate. With the single-step SEG of Ge, the threading defect density (TDD) was successfully decreased from 2.9 × 107 cm-2 in a globally grown Ge layer to 3.2 × 105 cm-2 for a single-step SEG and to 2.84 × 105 cm-2 for the dual-step SEG of the Ge layer. This means that by introducing a single SEG step, the defect density could be reduced by two orders of magnitude, but this reduction could be further decreased by only 11.3% by introducing the second SEG step. The final root mean square (RMS) of the surface roughness was 0.64 nm. The strain has also been modulated along the cross-section of the sample. Tensile strain appears in the first global Ge layer, compressive strain in the single-step Ge layer and fully strain relaxation in the dual-step Ge layer. The material characterization was locally performed at different points by high resolution transmission electron microscopy, while it was globally performed by high resolution X-ray diffraction and photoluminescence.

19.
Nanomaterials (Basel) ; 12(9)2022 Apr 19.
Article in English | MEDLINE | ID: mdl-35564112

ABSTRACT

In this manuscript, the integration of a strained Ge channel with Si-based FinFETs was investigated. The main focus was the preparation of high-aspect-ratio (AR) fin structures, appropriate etching topography and the growth of germanium (Ge) as a channel material with a highly compressive strain. Two etching methods, the wet etching and in situ HCl dry etching methods, were studied to achieve a better etching topography. In addition, the selective epitaxial growth of Ge material was performed on a patterned substrate using reduced pressure chemical vapor deposition. The results show that a V-shaped structure formed at the bottom of the dummy Si-fins using the wet etching method, which is beneficial to the suppression of dislocations. In addition, compressive strain was introduced to the Ge channel after the Ge selective epitaxial growth, which benefits the pMOS transport characteristics. The pattern dependency of the Ge growth over the patterned wafer was measured, and the solutions for uniform epitaxy are discussed.

20.
Nanomaterials (Basel) ; 12(7)2022 Apr 05.
Article in English | MEDLINE | ID: mdl-35407340

ABSTRACT

In this work, low-temperature Schottky source/drain (S/D) MOSFETs are investigated as the top-tier devices for 3D sequential integration. Complementary Schottky S/D FinFETs are successfully fabricated with a maximum processing temperature of 500 °C. Through source/drain extension (SDE) engineering, competitive driving capability and switching properties are achieved in comparison to the conventional devices fabricated with a standard high-temperature (≥1000 °C) process flow. Schottky S/D PMOS exhibits an ON-state current (ION) of 76.07 µA/µm and ON-state to OFF-state current ratio (ION/IOFF) of 7 × 105, and those for NMOS are 48.57 µA/µm and 1 × 106. The CMOS inverter shows a voltage gain of 18V/V, a noise margin for high (NMH) of 0.17 V and for low (NML) of 0.43 V, with power consumption less than 0.9 µW at VDD of 0.8 V. Full functionality of CMOS ring oscillators (RO) are further demonstrated.

SELECTION OF CITATIONS
SEARCH DETAIL
...